aboutsummaryrefslogtreecommitdiffstats
path: root/icefuzz/tests/io_latched.v
blob: 8c0e63e41ece85d2ec3c5d4649b18682a90cc5be (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
module top (
	inout pin,
	input latch_in,
	output data_out
);
	SB_IO #(
		.PIN_TYPE(6'b0000_11),
		.PULLUP(1'b0),
		.NEG_TRIGGER(1'b0),
		.IO_STANDARD("SB_LVCMOS")
	) pin_ibuf (
		.PACKAGE_PIN(pin),
		.LATCH_INPUT_VALUE(latch_in),
		.CLOCK_ENABLE(),
		.INPUT_CLK(),
		.OUTPUT_CLK(),
		.OUTPUT_ENABLE(),
		.D_OUT_0(),
		.D_OUT_1(),
		.D_IN_0(data_out),
		.D_IN_1()
	);
endmodule