aboutsummaryrefslogtreecommitdiffstats
path: root/icefuzz/timings_u4k.txt
blob: 221bd78b921fb778f95cd74cd886fe23cb6a9b47 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578
579
580
581
582
583
584
585
586
587
588
589
590
591
592
593
594
595
596
597
598
599
600
601
602
603
604
605
606
607
608
609
610
611
612
613
614
615
616
617
618
619
620
621
622
623
624
625
626
627
628
629
630
631
632
633
634
635
636
637
638
639
640
641
642
643
644
645
646
647
648
649
650
651
652
653
654
655
656
657
658
659
660
661
662
663
664
665
666
667
668
669
670
671
672
673
674
675
676
677
678
679
680
681
682
683
684
685
686
687
688
689
690
691
692
693
694
695
696
697
698
699
700
701
702
703
704
705
706
707
708
709
710
711
712
713
714
715
716
717
718
719
720
721
722
723
724
725
726
727
728
729
730
731
732
733
734
735
736
737
738
739
740
741
742
743
744
745
746
747
748
749
750
751
752
753
754
755
756
757
758
759
760
761
762
763
764
765
766
767
768
769
770
771
772
773
774
775
776
777
778
779
780
781
782
783
784
785
786
787
788
789
790
791
792
793
794
795
796
797
798
799
800
801
802
803
804
805
806
807
808
809
810
811
812
813
814
815
816
817
818
819
820
821
822
823
824
825
826
827
828
829
830
831
832
833
834
835
836
837
838
839
840
841
842
843
844
845
846
847
848
849
850
851
852
853
854
855
856
857
858
859
860
861
862
863
864
865
866
867
868
869
870
871
872
873
874
875
876
877
878
879
880
881
882
883
884
885
886
887
888
889
890
891
892
893
894
895
896
897
898
899
900
901
902
903
904
905
906
907
908
909
910
911
912
913
914
915
916
917
918
919
920
921
922
923
924
925
926
927
928
929
930
931
932
933
934
935
936
937
938
939
940
941
942
943
944
945
946
947
948
949
950
951
952
953
954
955
956
957
958
959
960
961
962
963
964
965
966
967
968
969
970
971
972
973
974
975
976
977
978
979
980
981
982
983
984
985
986
987
988
989
990
991
992
993
994
995
996
997
998
999
1000
1001
1002
1003
1004
1005
1006
1007
1008
1009
1010
1011
1012
1013
1014
1015
1016
1017
1018
1019
1020
1021
1022
1023
1024
1025
1026
1027
1028
1029
1030
1031
1032
1033
1034
1035
1036
1037
1038
1039
1040
1041
1042
1043
1044
1045
1046
1047
1048
1049
1050
1051
1052
1053
1054
1055
1056
1057
1058
1059
1060
1061
1062
1063
1064
1065
1066
1067
1068
1069
1070
1071
1072
1073
1074
1075
1076
1077
1078
1079
1080
1081
1082
1083
1084
1085
1086
1087
1088
1089
1090
1091
1092
1093
1094
1095
1096
1097
1098
1099
1100
1101
1102
1103
1104
1105
1106
1107
1108
1109
1110
1111
1112
1113
1114
1115
1116
1117
1118
1119
1120
1121
1122
1123
1124
1125
1126
1127
1128
1129
1130
1131
1132
1133
1134
1135
1136
1137
1138
1139
1140
1141
1142
1143
1144
1145
1146
1147
1148
1149
1150
1151
1152
1153
1154
1155
1156
1157
1158
1159
1160
1161
1162
1163
1164
1165
1166
1167
1168
1169
1170
1171
1172
1173
1174
1175
1176
1177
1178
1179
1180
1181
1182
1183
1184
1185
1186
1187
1188
1189
1190
1191
1192
1193
1194
1195
1196
1197
1198
1199
1200
1201
1202
1203
1204
1205
1206
1207
1208
1209
1210
1211
1212
1213
1214
1215
1216
1217
1218
1219
1220
1221
1222
1223
1224
1225
1226
1227
1228
1229
1230
1231
1232
1233
1234
1235
1236
1237
1238
1239
1240
1241
1242
1243
1244
1245
1246
1247
1248
1249
1250
1251
1252
1253
1254
1255
1256
1257
1258
1259
1260
1261
1262
1263
1264
1265
1266
1267
1268
1269
1270
1271
1272
1273
1274
1275
1276
1277
1278
1279
1280
1281
1282
1283
1284
1285
1286
1287
1288
1289
1290
1291
1292
1293
1294
1295
1296
1297
1298
1299
1300
1301
1302
1303
1304
1305
1306
1307
1308
1309
1310
1311
1312
1313
1314
1315
1316
1317
1318
1319
1320
1321
1322
1323
1324
1325
1326
1327
1328
1329
1330
1331
1332
1333
1334
1335
1336
1337
1338
1339
1340
1341
1342
1343
1344
1345
1346
1347
1348
1349
1350
1351
1352
1353
1354
1355
1356
1357
1358
1359
1360
1361
1362
1363
1364
1365
1366
1367
1368
1369
1370
1371
1372
1373
1374
1375
1376
1377
1378
1379
1380
1381
1382
1383
1384
1385
1386
1387
1388
1389
1390
1391
1392
1393
1394
1395
1396
1397
1398
1399
1400
1401
1402
1403
1404
1405
1406
1407
1408
1409
1410
1411
1412
1413
1414
1415
1416
1417
1418
1419
1420
1421
1422
1423
1424
1425
1426
1427
1428
1429
1430
1431
1432
1433
1434
1435
1436
1437
1438
1439
1440
1441
1442
1443
1444
1445
1446
1447
1448
1449
1450
1451
1452
1453
1454
1455
1456
1457
1458
1459
1460
1461
1462
1463
1464
1465
1466
1467
1468
1469
1470
1471
1472
1473
1474
1475
1476
1477
1478
1479
1480
1481
1482
1483
1484
1485
1486
1487
1488
1489
1490
1491
1492
1493
1494
1495
1496
1497
1498
1499
1500
1501
1502
1503
1504
1505
1506
1507
1508
1509
1510
1511
1512
1513
1514
1515
1516
1517
1518
1519
1520
1521
1522
1523
1524
1525
1526
1527
1528
1529
1530
1531
1532
1533
1534
1535
1536
1537
1538
1539
1540
1541
1542
1543
1544
1545
1546
1547
1548
1549
1550
1551
1552
1553
1554
1555
1556
1557
1558
1559
1560
1561
1562
1563
1564
1565
1566
1567
1568
1569
1570
1571
1572
1573
1574
1575
1576
1577
1578
1579
1580
1581
1582
1583
1584
1585
1586
1587
1588
1589
1590
1591
1592
1593
1594
1595
1596
1597
1598
1599
1600
1601
1602
1603
1604
1605
1606
1607
1608
1609
1610
1611
1612
1613
1614
1615
1616
1617
1618
1619
1620
1621
1622
1623
1624
1625
1626
1627
1628
1629
1630
1631
1632
1633
1634
1635
1636
1637
1638
1639
1640
1641
1642
1643
1644
1645
1646
1647
1648
1649
1650
1651
1652
1653
1654
1655
1656
1657
1658
1659
1660
1661
1662
1663
1664
1665
1666
1667
1668
1669
1670
1671
1672
1673
1674
1675
1676
1677
1678
1679
1680
1681
1682
1683
1684
1685
1686
1687
1688
1689
1690
1691
1692
1693
1694
1695
1696
1697
1698
1699
1700
1701
1702
1703
1704
1705
1706
1707
1708
1709
1710
1711
1712
1713
1714
1715
1716
1717
1718
1719
1720
1721
1722
1723
1724
1725
1726
1727
1728
1729
1730
1731
1732
1733
1734
1735
1736
1737
1738
1739
1740
1741
1742
1743
1744
1745
1746
1747
1748
1749
1750
1751
1752
1753
1754
1755
1756
1757
1758
1759
1760
1761
1762
1763
1764
1765
1766
1767
1768
1769
1770
1771
1772
1773
1774
1775
1776
1777
1778
1779
1780
1781
1782
1783
1784
1785
1786
1787
1788
1789
1790
1791
1792
1793
1794
1795
1796
1797
1798
1799
1800
1801
1802
1803
1804
1805
1806
1807
1808
1809
1810
1811
1812
1813
1814
1815
1816
1817
1818
1819
1820
1821
1822
1823
1824
1825
1826
1827
1828
1829
1830
1831
1832
1833
1834
1835
1836
1837
1838
1839
1840
1841
1842
1843
1844
1845
1846
1847
1848
1849
1850
1851
1852
1853
1854
1855
1856
1857
1858
1859
1860
1861
1862
1863
1864
1865
1866
1867
1868
1869
1870
1871
1872
1873
1874
1875
1876
1877
1878
1879
1880
1881
1882
1883
1884
1885
1886
1887
1888
1889
1890
1891
1892
1893
1894
1895
1896
1897
1898
1899
1900
1901
1902
1903
1904
1905
1906
1907
1908
1909
1910
1911
1912
1913
1914
1915
1916
1917
1918
1919
1920
1921
1922
1923
1924
1925
1926
1927
1928
1929
1930
1931
1932
1933
1934
1935
1936
1937
1938
1939
1940
1941
1942
1943
1944
1945
1946
1947
1948
1949
1950
1951
1952
1953
1954
1955
1956
1957
1958
1959
1960
1961
1962
1963
1964
1965
1966
1967
1968
1969
1970
1971
1972
1973
1974
1975
1976
1977
1978
1979
1980
1981
1982
1983
1984
1985
1986
1987
1988
1989
1990
1991
1992
1993
1994
1995
1996
1997
1998
1999
2000
2001
2002
2003
2004
2005
2006
2007
2008
2009
2010
2011
2012
2013
2014
2015
2016
2017
2018
2019
2020
2021
2022
2023
2024
2025
2026
2027
2028
2029
2030
2031
2032
2033
2034
2035
2036
2037
2038
2039
2040
2041
2042
2043
2044
2045
2046
2047
2048
2049
2050
2051
2052
2053
2054
2055
2056
2057
2058
2059
2060
2061
2062
2063
2064
2065
2066
2067
2068
2069
2070
2071
2072
2073
2074
2075
2076
2077
2078
2079
2080
2081
2082
2083
2084
2085
2086
2087
2088
2089
2090
2091
2092
2093
2094
2095
2096
2097
2098
2099
2100
2101
2102
2103
2104
2105
2106
2107
2108
2109
2110
2111
2112
2113
2114
2115
2116
2117
2118
2119
2120
2121
2122
2123
2124
2125
2126
2127
2128
2129
2130
2131
2132
2133
2134
2135
2136
2137
2138
2139
2140
2141
2142
2143
2144
2145
2146
2147
2148
2149
2150
2151
2152
2153
2154
2155
2156
2157
2158
2159
2160
2161
2162
2163
2164
2165
2166
2167
2168
2169
2170
2171
2172
2173
2174
2175
2176
2177
2178
2179
2180
2181
2182
2183
2184
2185
2186
2187
2188
2189
2190
2191
2192
2193
2194
2195
2196
2197
2198
2199
2200
2201
2202
2203
2204
2205
2206
2207
2208
2209
2210
2211
2212
2213
2214
2215
2216
2217
2218
2219
2220
2221
2222
2223
2224
2225
2226
2227
2228
2229
2230
2231
2232
2233
2234
2235
2236
2237
2238
2239
2240
2241
2242
2243
2244
2245
2246
2247
2248
2249
2250
2251
2252
2253
2254
2255
2256
2257
2258
2259
2260
2261
2262
2263
2264
2265
2266
2267
2268
2269
2270
2271
2272
2273
2274
2275
2276
2277
2278
2279
2280
2281
2282
2283
2284
2285
2286
2287
2288
2289
2290
2291
2292
2293
2294
2295
2296
2297
2298
2299
2300
2301
2302
2303
2304
2305
2306
2307
2308
2309
2310
2311
2312
2313
2314
2315
2316
2317
2318
2319
2320
2321
2322
2323
2324
2325
2326
2327
2328
2329
2330
2331
2332
2333
2334
2335
2336
2337
2338
2339
2340
2341
2342
2343
2344
2345
2346
2347
2348
2349
2350
2351
2352
2353
2354
2355
2356
2357
2358
2359
2360
2361
2362
2363
2364
2365
2366
2367
2368
2369
2370
2371
2372
2373
2374
2375
2376
2377
2378
2379
2380
2381
2382
2383
2384
2385
2386
2387
2388
2389
2390
2391
2392
2393
2394
2395
2396
2397
2398
2399
2400
2401
2402
2403
2404
2405
2406
2407
2408
2409
2410
2411
2412
2413
2414
2415
2416
2417
2418
2419
2420
2421
2422
2423
2424
2425
2426
2427
2428
2429
2430
2431
2432
2433
2434
2435
2436
2437
2438
2439
2440
2441
2442
2443
2444
2445
2446
2447
2448
2449
2450
2451
2452
2453
2454
2455
2456
2457
2458
2459
2460
2461
2462
2463
2464
2465
2466
2467
2468
2469
2470
2471
2472
2473
2474
2475
2476
2477
2478
2479
2480
2481
2482
2483
2484
2485
2486
2487
2488
2489
2490
2491
2492
2493
2494
2495
2496
2497
2498
2499
2500
2501
2502
2503
2504
2505
2506
2507
2508
2509
2510
2511
2512
2513
2514
2515
2516
2517
2518
2519
2520
2521
2522
2523
2524
2525
2526
2527
2528
2529
2530
2531
2532
2533
2534
2535
2536
2537
2538
2539
2540
2541
2542
2543
2544
2545
2546
2547
2548
2549
2550
2551
2552
2553
2554
2555
2556
2557
2558
2559
2560
2561
2562
2563
2564
2565
2566
2567
2568
2569
2570
2571
2572
2573
2574
2575
2576
2577
2578
2579
2580
2581
2582
2583
2584
2585
2586
2587
2588
2589
2590
2591
2592
2593
2594
2595
2596
2597
2598
2599
2600
2601
2602
2603
2604
2605
2606
2607
2608
2609
2610
2611
2612
2613
2614
2615
2616
2617
2618
2619
2620
2621
2622
2623
2624
2625
2626
2627
2628
2629
2630
2631
2632
2633
2634
2635
2636
2637
2638
2639
2640
2641
2642
2643
2644
2645
2646
2647
2648
2649
2650
2651
2652
2653
2654
2655
2656
2657
2658
2659
2660
2661
2662
2663
2664
2665
2666
2667
2668
2669
2670
2671
2672
2673
2674
2675
2676
2677
2678
2679
2680
2681
2682
2683
2684
2685
2686
2687
2688
2689
2690
2691
2692
2693
2694
2695
2696
2697
2698
2699
2700
2701
2702
2703
2704
2705
2706
2707
2708
2709
2710
2711
2712
2713
2714
2715
2716
2717
2718
2719
2720
2721
2722
2723
2724
2725
2726
2727
2728
2729
2730
2731
2732
2733
2734
2735
2736
2737
2738
2739
2740
2741
2742
2743
2744
2745
2746
2747
2748
2749
2750
2751
2752
2753
2754
2755
2756
2757
2758
2759
2760
2761
2762
2763
2764
2765
2766
2767
2768
2769
2770
2771
2772
2773
2774
2775
2776
2777
2778
2779
2780
2781
2782
2783
2784
2785
2786
2787
2788
2789
2790
2791
2792
2793
2794
2795
2796
2797
2798
2799
2800
2801
2802
2803
2804
2805
2806
2807
2808
2809
2810
2811
2812
2813
2814
2815
2816
2817
2818
2819
2820
2821
2822
2823
2824
2825
2826
2827
2828
2829
2830
2831
2832
2833
2834
2835
2836
2837
2838
2839
2840
2841
2842
2843
2844
2845
2846
2847
2848
2849
2850
2851
2852
2853
2854
2855
2856
2857
2858
2859
2860
2861
2862
2863
2864
2865
2866
2867
2868
2869
2870
2871
2872
2873
2874
2875
2876
2877
2878
2879
2880
2881
2882
2883
2884
2885
2886
2887
2888
2889
2890
2891
2892
2893
2894
2895
2896
2897
2898
2899
2900
2901
2902
2903
2904
2905
2906
2907
2908
2909
2910
2911
2912
2913
2914
2915
2916
2917
2918
2919
2920
2921
2922
2923
2924
2925
2926
2927
2928
2929
2930
2931
2932
2933
2934
2935
2936
2937
2938
2939
2940
2941
2942
2943
2944
2945
2946
2947
2948
2949
2950
2951
2952
2953
2954
2955
2956
2957
2958
2959
2960
2961
2962
2963
2964
2965
2966
2967
2968
2969
2970
2971
2972
2973
2974
2975
2976
2977
2978
2979
2980
2981
2982
2983
2984
2985
2986
2987
2988
2989
2990
2991
2992
2993
2994
2995
2996
2997
2998
2999
3000
3001
3002
3003
3004
3005
3006
3007
3008
3009
3010
3011
3012
3013
3014
3015
3016
3017
3018
3019
3020
3021
3022
3023
3024
3025
3026
3027
3028
3029
3030
3031
3032
3033
3034
3035
3036
3037
3038
3039
3040
3041
3042
3043
3044
3045
3046
3047
3048
3049
3050
3051
3052
3053
3054
3055
3056
3057
3058
3059
3060
3061
3062
3063
3064
3065
3066
3067
3068
3069
3070
3071
3072
3073
3074
3075
3076
3077
3078
3079
3080
3081
3082
3083
3084
3085
3086
3087
3088
3089
3090
3091
3092
3093
3094
3095
3096
3097
3098
3099
3100
3101
3102
3103
3104
3105
3106
3107
3108
3109
3110
3111
3112
3113
3114
3115
3116
3117
3118
3119
3120
3121
3122
3123
3124
3125
3126
3127
3128
3129
3130
3131
3132
3133
3134
3135
3136
3137
3138
3139
3140
3141
3142
3143
3144
3145
3146
3147
3148
3149
3150
3151
3152
3153
3154
3155
3156
3157
3158
3159
3160
3161
3162
3163
3164
3165
3166
3167
3168
3169
3170
3171
3172
3173
3174
3175
3176
3177
3178
3179
3180
3181
3182
3183
3184
3185
3186
3187
3188
3189
3190
3191
3192
3193
3194
3195
3196
3197
3198
3199
3200
3201
3202
3203
3204
3205
3206
3207
3208
3209
3210
3211
3212
3213
3214
3215
3216
3217
3218
3219
3220
3221
3222
3223
3224
3225
3226
3227
3228
3229
3230
3231
3232
3233
3234
3235
3236
3237
3238
3239
3240
3241
3242
3243
3244
3245
3246
3247
3248
3249
3250
3251
3252
3253
3254
3255
3256
3257
3258
3259
3260
3261
3262
3263
3264
3265
3266
3267
3268
3269
3270
3271
3272
3273
3274
3275
3276
3277
3278
3279
3280
3281
3282
3283
3284
3285
3286
3287
3288
3289
3290
3291
3292
3293
3294
3295
3296
3297
3298
3299
3300
3301
3302
3303
3304
3305
3306
3307
3308
3309
3310
3311
3312
3313
3314
3315
3316
3317
3318
3319
3320
3321
3322
3323
3324
3325
3326
3327
3328
3329
3330
3331
3332
3333
3334
3335
3336
3337
3338
3339
3340
3341
3342
3343
3344
3345
3346
3347
3348
3349
3350
3351
3352
3353
3354
3355
3356
3357
3358
3359
3360
3361
3362
3363
3364
3365
3366
3367
3368
3369
3370
3371
3372
3373
3374
3375
3376
3377
3378
3379
3380
3381
3382
3383
3384
3385
3386
3387
3388
3389
3390
3391
3392
3393
3394
3395
3396
3397
3398
3399
3400
3401
3402
3403
3404
3405
3406
3407
3408
3409
3410
3411
3412
3413
3414
3415
3416
3417
3418
3419
3420
3421
3422
3423
3424
3425
3426
3427
3428
3429
3430
3431
3432
3433
3434
3435
3436
3437
3438
3439
3440
3441
3442
3443
3444
3445
3446
3447
3448
3449
3450
3451
3452
3453
3454
3455
3456
3457
3458
3459
3460
3461
3462
3463
3464
3465
3466
3467
3468
3469
3470
3471
3472
3473
3474
3475
3476
3477
3478
3479
3480
3481
3482
3483
3484
3485
3486
3487
3488
3489
3490
3491
3492
3493
3494
3495
3496
3497
3498
3499
3500
3501
3502
3503
3504
3505
3506
3507
3508
3509
3510
3511
3512
3513
3514
3515
3516
3517
3518
3519
3520
3521
3522
3523
3524
3525
3526
3527
3528
3529
3530
3531
3532
3533
3534
3535
3536
3537
3538
3539
3540
3541
3542
3543
3544
3545
3546
3547
3548
3549
3550
3551
3552
3553
3554
3555
3556
3557
3558
3559
3560
3561
3562
3563
3564
3565
3566
3567
3568
3569
3570
3571
3572
3573
3574
3575
3576
3577
3578
3579
3580
3581
3582
3583
3584
3585
3586
3587
3588
3589
3590
3591
3592
3593
3594
3595
3596
3597
3598
3599
3600
3601
3602
3603
3604
3605
3606
3607
3608
3609
3610
3611
3612
3613
3614
3615
3616
3617
3618
3619
3620
3621
3622
3623
3624
3625
3626
3627
3628
3629
3630
3631
3632
3633
3634
3635
3636
3637
3638
3639
3640
3641
3642
3643
3644
3645
3646
3647
3648
3649
3650
3651
3652
3653
3654
3655
3656
3657
3658
3659
3660
3661
3662
3663
3664
3665
3666
3667
3668
3669
3670
3671
3672
3673
3674
3675
3676
3677
3678
3679
3680
3681
3682
3683
3684
3685
3686
3687
3688
3689
3690
3691
3692
3693
3694
3695
3696
3697
3698
3699
3700
3701
3702
3703
3704
3705
3706
3707
3708
3709
3710
3711
3712
3713
3714
3715
3716
3717
3718
3719
3720
3721
3722
3723
3724
3725
3726
3727
3728
3729
3730
3731
3732
3733
3734
3735
3736
3737
3738
3739
3740
3741
3742
3743
3744
3745
3746
3747
3748
3749
3750
3751
3752
3753
3754
3755
3756
3757
3758
3759
3760
3761
3762
3763
3764
3765
3766
3767
3768
3769
3770
3771
3772
3773
3774
3775
3776
3777
3778
3779
3780
3781
3782
3783
3784
3785
3786
3787
3788
3789
3790
3791
3792
3793
3794
3795
3796
3797
3798
3799
3800
3801
3802
3803
3804
3805
3806
3807
3808
3809
3810
3811
3812
3813
3814
3815
3816
3817
3818
3819
3820
3821
3822
3823
3824
3825
3826
3827
3828
3829
3830
3831
3832
3833
3834
3835
3836
3837
3838
3839
3840
3841
3842
3843
3844
3845
3846
3847
3848
3849
3850
3851
3852
3853
3854
3855
3856
3857
3858
3859
3860
3861
3862
3863
3864
3865
3866
3867
3868
3869
3870
3871
3872
3873
3874
3875
3876
3877
3878
3879
3880
3881
3882
3883
3884
3885
3886
3887
3888
3889
3890
3891
3892
3893
3894
3895
3896
3897
3898
3899
3900
3901
3902
3903
3904
3905
3906
3907
3908
3909
3910
3911
3912
3913
3914
3915
3916
3917
3918
3919
3920
3921
3922
3923
3924
3925
3926
3927
3928
3929
3930
3931
3932
3933
3934
3935
3936
3937
3938
3939
3940
3941
3942
3943
3944
3945
3946
3947
3948
3949
3950
3951
3952
3953
3954
3955
3956
3957
3958
3959
3960
3961
3962
3963
3964
3965
3966
3967
3968
3969
3970
3971
3972
3973
3974
3975
3976
3977
3978
3979
3980
3981
3982
3983
3984
3985
3986
3987
3988
3989
3990
3991
3992
3993
3994
3995
3996
3997
3998
3999
4000
4001
4002
4003
4004
4005
4006
4007
4008
4009
4010
4011
4012
4013
4014
4015
4016
4017
4018
4019
4020
4021
4022
4023
4024
4025
4026
4027
4028
4029
4030
4031
4032
4033
4034
4035
4036
4037
4038
4039
4040
4041
4042
4043
4044
4045
4046
4047
4048
4049
4050
4051
4052
4053
4054
4055
4056
4057
4058
4059
4060
4061
4062
4063
4064
4065
4066
4067
4068
4069
4070
4071
4072
4073
4074
4075
4076
4077
4078
4079
4080
4081
4082
4083
4084
4085
4086
4087
4088
4089
4090
4091
4092
4093
4094
4095
4096
4097
4098
4099
4100
4101
4102
4103
4104
4105
4106
4107
4108
4109
4110
4111
4112
4113
4114
4115
4116
4117
4118
4119
4120
4121
4122
4123
4124
4125
4126
4127
4128
4129
4130
4131
4132
4133
4134
4135
4136
4137
4138
4139
4140
4141
4142
4143
4144
4145
4146
4147
4148
4149
4150
4151
4152
4153
4154
4155
4156
4157
4158
4159
4160
4161
4162
4163
4164
4165
4166
4167
4168
4169
4170
4171
4172
4173
4174
4175
4176
4177
4178
4179
4180
4181
4182
4183
4184
4185
4186
4187
4188
4189
4190
4191
4192
4193
4194
4195
4196
4197
4198
4199
4200
4201
4202
4203
4204
4205
4206
4207
4208
4209
4210
4211
4212
4213
4214
4215
4216
4217
4218
4219
4220
4221
4222
4223
4224
4225
4226
4227
4228
4229
4230
4231
4232
4233
4234
4235
4236
4237
4238
4239
4240
4241
4242
4243
4244
4245
4246
4247
4248
4249
4250
4251
4252
4253
4254
4255
4256
4257
4258
4259
4260
4261
4262
4263
4264
4265
4266
4267
4268
4269
4270
4271
4272
4273
4274
4275
4276
4277
4278
4279
4280
4281
4282
4283
4284
4285
4286
4287
4288
4289
4290
4291
4292
4293
4294
4295
4296
4297
4298
4299
4300
4301
4302
4303
4304
4305
4306
4307
4308
4309
4310
4311
4312
4313
4314
4315
4316
4317
4318
4319
4320
4321
4322
4323
CELL CascadeBuf
IOPATH  I  O  103.252:180.527:278.135  127.835:223.509:344.358

CELL CascadeMux
IOPATH  I  O  0:0:0  0:0:0

CELL CEMux
IOPATH  I  O  260.588:455.615:701.96  181.92:318.071:490.048

CELL ClkMux
IOPATH  I  O  329.422:575.966:887.384  344.172:601.755:927.118

CELL DummyBuf
IOPATH  I  O  0:0:0  0:0:0

CELL gio2CtrlBuf
IOPATH  I  O  0:0:0  0:0:0

CELL Glb2LocalMux
IOPATH  I  O  216.337:378.246:582.76  196.67:343.86:529.781

CELL GlobalMux
IOPATH  I  O  93.4182:163.334:251.646  103.252:180.527:278.135

CELL ICE_CARRY_IN_MUX
IOPATH  carryinitin  carryinitout  206.503:361.053:556.271  167.169:292.281:450.314

CELL ICE_GB
IOPATH  USERSIGNALTOGLOBALBUFFER  GLOBALBUFFEROUTPUT  590.01:1031.58:1589.34  295.005:515.79:794.672

CELL InMux
IOPATH  I  O  245.837:429.825:662.227  186.836:326.667:503.292

CELL INV
IOPATH  I  O  0:0:0  0:0:0

CELL IO_PAD
IOPATH  DIN         PACKAGEPIN  2291.5:2291.5:2291.5  2353.2:2353.2:2353.2
IOPATH  OE          PACKAGEPIN  1973:1973:1973        1942:1942:1942
IOPATH  OE          PACKAGEPIN  2291.5:2291.5:2291.5  2353.2:2353.2:2353.2
IOPATH  PACKAGEPIN  DOUT        590:590:590           540:540:540

CELL IO_PAD_I3C
IOPATH  DIN         PACKAGEPIN  0:0:0  0:0:0
IOPATH  OE          PACKAGEPIN  0:0:0  0:0:0
IOPATH  PACKAGEPIN  DOUT        0:0:0  0:0:0

CELL IO_PAD_OD
IOPATH  DIN         PACKAGEPIN  0:0:0  0:0:0
IOPATH  OE          PACKAGEPIN  0:0:0  0:0:0
IOPATH  PACKAGEPIN  DOUT        0:0:0  0:0:0

CELL IoInMux
IOPATH  I  O  245.837:429.825:662.227  186.836:326.667:503.292

CELL IoSpan4Mux
IOPATH  I  O  231.087:404.036:622.493  275.338:481.404:741.694

CELL LocalMux
IOPATH  I  O  408.09:713.51:1099.3  285.171:498.597:768.183

CELL LogicCell40
HOLD      negedge:ce   posedge:clk  0:0:0
HOLD      negedge:in0  posedge:clk  0:0:0
HOLD      negedge:in1  posedge:clk  0:0:0
HOLD      negedge:in2  posedge:clk  0:0:0
HOLD      negedge:in3  posedge:clk  0:0:0
HOLD      negedge:sr   posedge:clk  -191.753:-335.264:-516.537
HOLD      posedge:ce   posedge:clk  0:0:0
HOLD      posedge:in0  posedge:clk  0:0:0
HOLD      posedge:in1  posedge:clk  0:0:0
HOLD      posedge:in2  posedge:clk  0:0:0
HOLD      posedge:in3  posedge:clk  0:0:0
HOLD      posedge:sr   posedge:clk  -177.003:-309.474:-476.803
RECOVERY  negedge:sr   posedge:clk  157.336:275.088:423.825
RECOVERY  posedge:sr   posedge:clk  0:0:0
REMOVAL   negedge:sr   posedge:clk  0:0:0
REMOVAL   posedge:sr   posedge:clk  0:0:0
SETUP     negedge:ce   posedge:clk  0:0:0
SETUP     negedge:in0  posedge:clk  393.34:687.72:1059.56
SETUP     negedge:in1  posedge:clk  373.673:653.334:1006.58
SETUP     negedge:in2  posedge:clk  319.589:558.773:860.895
SETUP     negedge:in3  posedge:clk  216.337:378.246:582.76
SETUP     negedge:sr   posedge:clk  142.586:249.299:384.092
SETUP     posedge:ce   posedge:clk  0:0:0
SETUP     posedge:in0  posedge:clk  457.258:799.475:1231.74
SETUP     posedge:in1  posedge:clk  393.34:687.72:1059.56
SETUP     posedge:in2  posedge:clk  363.839:636.141:980.096
SETUP     posedge:in3  posedge:clk  270.421:472.808:728.45
SETUP     posedge:sr   posedge:clk  196.67:343.86:529.781
IOPATH    carryin      carryout     103.252:180.527:278.135     103.252:180.527:278.135
IOPATH    in0          lcout        462.174:808.071:1244.99     476.925:833.861:1284.72
IOPATH    in0          ltout        314.672:550.176:847.65      334.339:584.562:900.628
IOPATH    in1          carryout     250.754:438.422:675.471     245.837:429.825:662.227
IOPATH    in1          lcout        437.591:765.089:1178.76     457.258:799.475:1231.74
IOPATH    in1          ltout        275.338:481.404:741.694     329.422:575.966:887.384
IOPATH    in2          carryout     226.17:395.439:609.249      132.752:232.106:357.602
IOPATH    in2          lcout        437.591:765.089:1178.76     447.424:782.282:1205.25
IOPATH    in2          ltout        260.588:455.615:701.96      290.088:507.194:781.428
IOPATH    in3          lcout        319.589:558.773:860.895     324.505:567.369:874.139
IOPATH    in3          ltout        216.337:378.246:582.76      226.17:395.439:609.249
IOPATH    posedge:clk  lcout        516.259:902.633:1390.68     516.259:902.633:1390.68
IOPATH    sr           lcout        0:0:0                       590.01:1031.58:1589.34
IOPATH    sr           lcout        420.038:734.399:1131.48     0:0:0

CELL Odrv4
IOPATH  I  O  221.254:386.843:596.004  240.921:421.229:648.982

CELL Odrv12
IOPATH  I  O  398.257:696.317:1072.81  457.258:799.475:1231.74

CELL PLL40
HOLD    negedge:SDI   negedge:SCLK  0:0:0
HOLD    posedge:SDI   negedge:SCLK  0:0:0
SETUP   negedge:SDI   negedge:SCLK  491.675:859.651:1324.45
SETUP   posedge:SDI   negedge:SCLK  491.675:859.651:1324.45
IOPATH  negedge:SCLK  SDO           491.675:859.651:1324.45  491.675:859.651:1324.45
IOPATH  PLLIN         PLLOUTCORE    *:*:*                    *:*:*
IOPATH  PLLIN         PLLOUTGLOBAL  *:*:*                    *:*:*

CELL PLL40_2
HOLD    negedge:SDI   negedge:SCLK   0:0:0
HOLD    posedge:SDI   negedge:SCLK   0:0:0
SETUP   negedge:SDI   negedge:SCLK   491.675:859.651:1324.45
SETUP   posedge:SDI   negedge:SCLK   491.675:859.651:1324.45
IOPATH  negedge:SCLK  SDO            491.675:859.651:1324.45  491.675:859.651:1324.45
IOPATH  PLLIN         PLLOUTCOREA    *:*:*                    *:*:*
IOPATH  PLLIN         PLLOUTCOREB    *:*:*                    *:*:*
IOPATH  PLLIN         PLLOUTGLOBALA  *:*:*                    *:*:*
IOPATH  PLLIN         PLLOUTGLOBALB  *:*:*                    *:*:*

CELL PLL40_2F
HOLD    negedge:SDI   negedge:SCLK   0:0:0
HOLD    posedge:SDI   negedge:SCLK   0:0:0
SETUP   negedge:SDI   negedge:SCLK   491.675:859.651:1324.45
SETUP   posedge:SDI   negedge:SCLK   491.675:859.651:1324.45
IOPATH  negedge:SCLK  SDO            491.675:859.651:1324.45  491.675:859.651:1324.45
IOPATH  PLLIN         PLLOUTCOREA    *:*:*                    *:*:*
IOPATH  PLLIN         PLLOUTCOREB    *:*:*                    *:*:*
IOPATH  PLLIN         PLLOUTGLOBALA  *:*:*                    *:*:*
IOPATH  PLLIN         PLLOUTGLOBALB  *:*:*                    *:*:*

CELL PRE_IO
HOLD    negedge:CLOCKENABLE  posedge:INPUTCLK   0:0:0
HOLD    negedge:CLOCKENABLE  posedge:OUTPUTCLK  0:0:0
HOLD    negedge:DOUT0        posedge:OUTPUTCLK  0:0:0
HOLD    negedge:DOUT1        negedge:OUTPUTCLK  0:0:0
HOLD    negedge:PADIN        negedge:INPUTCLK   0:0:0
HOLD    negedge:PADIN        posedge:INPUTCLK   0:0:0
HOLD    posedge:CLOCKENABLE  posedge:INPUTCLK   0:0:0
HOLD    posedge:CLOCKENABLE  posedge:OUTPUTCLK  0:0:0
HOLD    posedge:DOUT0        posedge:OUTPUTCLK  0:0:0
HOLD    posedge:DOUT1        negedge:OUTPUTCLK  0:0:0
HOLD    posedge:PADIN        negedge:INPUTCLK   0:0:0
HOLD    posedge:PADIN        posedge:INPUTCLK   0:0:0
SETUP   negedge:CLOCKENABLE  posedge:INPUTCLK   69.3753:121.297:186.88
SETUP   negedge:CLOCKENABLE  posedge:OUTPUTCLK  69.3753:121.297:186.88
SETUP   negedge:DOUT0        posedge:OUTPUTCLK  69.3753:121.297:186.88
SETUP   negedge:DOUT1        negedge:OUTPUTCLK  69.3753:121.297:186.88
SETUP   negedge:PADIN        negedge:INPUTCLK   1546.86:2704.55:4166.86
SETUP   negedge:PADIN        posedge:INPUTCLK   1546.86:2704.55:4166.86
SETUP   posedge:CLOCKENABLE  posedge:INPUTCLK   75.3246:131.698:202.906
SETUP   posedge:CLOCKENABLE  posedge:OUTPUTCLK  75.3246:131.698:202.906
SETUP   posedge:DOUT0        posedge:OUTPUTCLK  75.3246:131.698:202.906
SETUP   posedge:DOUT1        negedge:OUTPUTCLK  75.3246:131.698:202.906
SETUP   posedge:PADIN        negedge:INPUTCLK   1552.81:2714.95:4182.89
SETUP   posedge:PADIN        posedge:INPUTCLK   1552.81:2714.95:4182.89
IOPATH  DOUT0                PADOUT             280.255:490.001:754.939  285.171:498.597:768.183
IOPATH  LATCHINPUTVALUE      DIN0               242.052:423.206:652.029  261.473:457.162:704.344
IOPATH  negedge:INPUTCLK     DIN1               369.74:646.457:995.989   295.988:517.51:797.321
IOPATH  negedge:OUTPUTCLK    PADOUT             492.658:861.37:1327.1    569.851:996.335:1535.04
IOPATH  OUTPUTENABLE         PADOEN             169.284:295.978:456.009  198.292:346.697:534.152
IOPATH  PADIN                DIN0               181.92:318.071:490.048   181.92:318.071:490.048
IOPATH  posedge:INPUTCLK     DIN0               373.181:652.475:1005.26  327.947:573.387:883.411
IOPATH  posedge:OUTPUTCLK    PADOUT             524.617:917.247:1413.19  566.409:990.317:1525.77

CELL PRE_IO_GBUF
IOPATH  PADSIGNALTOGLOBALBUFFER  GLOBALBUFFEROUTPUT  1622.53:2836.85:4370.7  1106.27:1934.21:2980.02

CELL SB_LED_DRV_CUR
IOPATH  EN  LEDPU  1.72086e+07:3.00878e+07:4.63559e+07  1.72086e+07:3.00878e+07:4.63559e+07

CELL SB_MAC16_ACC_U_16P16_ALL_PIPELINE
HOLD      negedge:A[0]       posedge:CLK  110.748:193.633:298.328
HOLD      negedge:A[1]       posedge:CLK  109.024:190.62:293.686
HOLD      negedge:A[2]       posedge:CLK  106.197:185.676:286.069
HOLD      negedge:A[3]       posedge:CLK  106.3:185.856:286.347
HOLD      negedge:A[4]       posedge:CLK  107.158:187.357:288.658
HOLD      negedge:A[5]       posedge:CLK  90.4067:158.068:243.534
HOLD      negedge:A[6]       posedge:CLK  108.701:190.055:292.816
HOLD      negedge:A[7]       posedge:CLK  104.622:182.922:281.825
HOLD      negedge:A[8]       posedge:CLK  88.5624:154.844:238.566
HOLD      negedge:A[9]       posedge:CLK  82.9013:144.946:223.316
HOLD      negedge:A[10]      posedge:CLK  87.3323:152.693:235.252
HOLD      negedge:A[11]      posedge:CLK  85.0878:148.769:229.206
HOLD      negedge:A[12]      posedge:CLK  84.4245:147.609:227.419
HOLD      negedge:A[13]      posedge:CLK  84.4668:147.683:227.533
HOLD      negedge:A[14]      posedge:CLK  82.0173:143.4:220.935
HOLD      negedge:A[15]      posedge:CLK  89.7533:156.926:241.774
HOLD      negedge:ADDSUBBOT  posedge:CLK  33.9157:59.2987:91.3608
HOLD      negedge:ADDSUBTOP  posedge:CLK  31.7499:55.5119:85.5266
HOLD      negedge:AHOLD      posedge:CLK  99.8238:174.533:268.901
HOLD      negedge:B[0]       posedge:CLK  97.9997:171.344:263.987
HOLD      negedge:B[1]       posedge:CLK  100.797:176.234:271.522
HOLD      negedge:B[2]       posedge:CLK  95.8668:167.615:258.242
HOLD      negedge:B[3]       posedge:CLK  98.2086:171.709:264.55
HOLD      negedge:B[4]       posedge:CLK  99.1261:173.313:267.022
HOLD      negedge:B[5]       posedge:CLK  92.5696:161.85:249.36
HOLD      negedge:B[6]       posedge:CLK  90.3049:157.89:243.26
HOLD      negedge:B[7]       posedge:CLK  90.4092:158.073:243.541
HOLD      negedge:B[8]       posedge:CLK  89.4381:156.375:240.925
HOLD      negedge:B[9]       posedge:CLK  95.4897:166.955:257.226
HOLD      negedge:B[10]      posedge:CLK  82.0453:143.449:221.01
HOLD      negedge:B[11]      posedge:CLK  87.8741:153.64:236.712
HOLD      negedge:B[12]      posedge:CLK  92.8081:162.267:250.003
HOLD      negedge:B[13]      posedge:CLK  87.9365:153.749:236.88
HOLD      negedge:B[14]      posedge:CLK  83.4648:145.931:224.834
HOLD      negedge:B[15]      posedge:CLK  96.707:169.084:260.505
HOLD      negedge:BHOLD      posedge:CLK  87.0968:152.281:234.618
HOLD      negedge:C[0]       posedge:CLK  117.028:204.613:315.245
HOLD      negedge:C[1]       posedge:CLK  118.587:207.339:319.445
HOLD      negedge:C[2]       posedge:CLK  116.275:203.296:313.216
HOLD      negedge:C[3]       posedge:CLK  114.747:200.625:309.101
HOLD      negedge:C[4]       posedge:CLK  115.462:201.875:311.027
HOLD      negedge:C[5]       posedge:CLK  116.556:203.788:313.974
HOLD      negedge:C[6]       posedge:CLK  115.685:202.265:311.628
HOLD      negedge:C[7]       posedge:CLK  118.049:206.399:317.996
HOLD      negedge:C[8]       posedge:CLK  116.568:203.809:314.005
HOLD      negedge:C[9]       posedge:CLK  115.634:202.176:311.49
HOLD      negedge:C[10]      posedge:CLK  114.576:200.327:308.641
HOLD      negedge:C[11]      posedge:CLK  116.01:202.834:312.504
HOLD      negedge:C[12]      posedge:CLK  117.593:205.602:316.768
HOLD      negedge:C[13]      posedge:CLK  116.004:202.823:312.488
HOLD      negedge:C[14]      posedge:CLK  115.659:202.22:311.558
HOLD      negedge:C[15]      posedge:CLK  115.814:202.49:311.974
HOLD      negedge:CHOLD      posedge:CLK  105.921:185.194:285.326
HOLD      negedge:D[0]       posedge:CLK  93.8499:164.088:252.809
HOLD      negedge:D[1]       posedge:CLK  91.1157:159.308:245.444
HOLD      negedge:D[2]       posedge:CLK  90.5296:158.283:243.865
HOLD      negedge:D[3]       posedge:CLK  88.619:154.943:238.718
HOLD      negedge:D[4]       posedge:CLK  87.0284:152.162:234.434
HOLD      negedge:D[5]       posedge:CLK  91.8198:160.539:247.34
HOLD      negedge:D[6]       posedge:CLK  91.8916:160.664:247.534
HOLD      negedge:D[7]       posedge:CLK  89.1097:155.8:240.04
HOLD      negedge:D[8]       posedge:CLK  124.891:218.361:336.426
HOLD      negedge:D[9]       posedge:CLK  85.2382:149.032:229.611
HOLD      negedge:D[10]      posedge:CLK  127.421:222.785:343.243
HOLD      negedge:D[11]      posedge:CLK  125.995:220.291:339.401
HOLD      negedge:D[12]      posedge:CLK  124.859:218.305:336.34
HOLD      negedge:D[13]      posedge:CLK  122.833:214.763:330.883
HOLD      negedge:D[14]      posedge:CLK  89.7017:156.836:241.635
HOLD      negedge:D[15]      posedge:CLK  127.656:223.195:343.873
HOLD      negedge:DHOLD      posedge:CLK  115.052:201.159:309.923
HOLD      negedge:OHOLDBOT   posedge:CLK  77.3041:135.159:208.239
HOLD      negedge:OHOLDTOP   posedge:CLK  77.3316:135.208:208.313
HOLD      negedge:OLOADBOT   posedge:CLK  95.2856:166.599:256.676
HOLD      negedge:OLOADTOP   posedge:CLK  87.8451:153.589:236.634
HOLD      posedge:A[0]       posedge:CLK  85.7097:149.856:230.881
HOLD      posedge:A[1]       posedge:CLK  84.2347:147.277:226.908
HOLD      posedge:A[2]       posedge:CLK  80.3687:140.518:216.494
HOLD      posedge:A[3]       posedge:CLK  80.0702:139.996:215.69
HOLD      posedge:A[4]       posedge:CLK  81.8516:143.11:220.488
HOLD      posedge:A[5]       posedge:CLK  69.0115:120.661:185.9
HOLD      posedge:A[6]       posedge:CLK  82.5748:144.375:222.437
HOLD      posedge:A[7]       posedge:CLK  79.1198:138.334:213.13
HOLD      posedge:A[8]       posedge:CLK  67.9357:118.78:183.002
HOLD      posedge:A[9]       posedge:CLK  63.8627:111.658:172.031
HOLD      posedge:A[10]      posedge:CLK  67.2537:117.587:181.165
HOLD      posedge:A[11]      posedge:CLK  65.436:114.409:176.269
HOLD      posedge:A[12]      posedge:CLK  65.4616:114.454:176.338
HOLD      posedge:A[13]      posedge:CLK  64.7713:113.247:174.478
HOLD      posedge:A[14]      posedge:CLK  61.6364:107.766:166.034
HOLD      posedge:A[15]      posedge:CLK  68.3521:119.508:184.124
HOLD      posedge:ADDSUBBOT  posedge:CLK  28.5314:49.8847:76.8567
HOLD      posedge:ADDSUBTOP  posedge:CLK  25.6522:44.8505:69.1007
HOLD      posedge:AHOLD      posedge:CLK  80.3569:140.497:216.462
HOLD      posedge:B[0]       posedge:CLK  78.3081:136.915:210.943
HOLD      posedge:B[1]       posedge:CLK  79.4822:138.968:214.106
HOLD      posedge:B[2]       posedge:CLK  75.7681:132.474:204.101
HOLD      posedge:B[3]       posedge:CLK  77.8159:136.054:209.617
HOLD      posedge:B[4]       posedge:CLK  79.2472:138.557:213.473
HOLD      posedge:B[5]       posedge:CLK  71.6174:125.217:192.92
HOLD      posedge:B[6]       posedge:CLK  69.5818:121.658:187.437
HOLD      posedge:B[7]       posedge:CLK  69.9963:122.382:188.553
HOLD      posedge:B[8]       posedge:CLK  68.4549:119.687:184.401
HOLD      posedge:B[9]       posedge:CLK  74.2945:129.897:200.132
HOLD      posedge:B[10]      posedge:CLK  63.8243:111.591:171.927
HOLD      posedge:B[11]      posedge:CLK  66.9735:117.097:180.41
HOLD      posedge:B[12]      posedge:CLK  71.9478:125.794:193.81
HOLD      posedge:B[13]      posedge:CLK  67.62:118.228:182.152
HOLD      posedge:B[14]      posedge:CLK  63.871:111.673:172.053
HOLD      posedge:B[15]      posedge:CLK  75.6648:132.293:203.823
HOLD      posedge:BHOLD      posedge:CLK  72.0648:125.999:194.125
HOLD      posedge:C[0]       posedge:CLK  96.5222:168.761:260.007
HOLD      posedge:C[1]       posedge:CLK  98.1752:171.651:264.46
HOLD      posedge:C[2]       posedge:CLK  95.6401:167.218:257.631
HOLD      posedge:C[3]       posedge:CLK  95.1755:166.406:256.38
HOLD      posedge:C[4]       posedge:CLK  95.292:166.61:256.694
HOLD      posedge:C[5]       posedge:CLK  96.5187:168.755:259.998
HOLD      posedge:C[6]       posedge:CLK  93.3258:163.172:251.397
HOLD      posedge:C[7]       posedge:CLK  97.2051:169.955:261.847
HOLD      posedge:C[8]       posedge:CLK  95.3171:166.654:256.761
HOLD      posedge:C[9]       posedge:CLK  93.9104:164.194:252.972
HOLD      posedge:C[10]      posedge:CLK  92.6011:161.905:249.445
HOLD      posedge:C[11]      posedge:CLK  95.0496:166.186:256.041
HOLD      posedge:C[12]      posedge:CLK  97.0532:169.689:261.438
HOLD      posedge:C[13]      posedge:CLK  94.8544:165.845:255.515
HOLD      posedge:C[14]      posedge:CLK  93.6616:163.759:252.302
HOLD      posedge:C[15]      posedge:CLK  95.674:167.278:257.723
HOLD      posedge:CHOLD      posedge:CLK  90.7543:158.676:244.47
HOLD      posedge:D[0]       posedge:CLK  73.7198:128.893:198.583
HOLD      posedge:D[1]       posedge:CLK  70.7638:123.724:190.621
HOLD      posedge:D[2]       posedge:CLK  68.2553:119.338:183.863
HOLD      posedge:D[3]       posedge:CLK  67.0959:117.311:180.74
HOLD      posedge:D[4]       posedge:CLK  66.5605:116.375:179.298
HOLD      posedge:D[5]       posedge:CLK  70.8135:123.811:190.754
HOLD      posedge:D[6]       posedge:CLK  72.5363:126.823:195.395
HOLD      posedge:D[7]       posedge:CLK  69.069:120.761:186.055
HOLD      posedge:D[8]       posedge:CLK  102.435:179.099:275.935
HOLD      posedge:D[9]       posedge:CLK  67.0453:117.223:180.604
HOLD      posedge:D[10]      posedge:CLK  103.325:180.656:278.334
HOLD      posedge:D[11]      posedge:CLK  102.772:179.688:276.843
HOLD      posedge:D[12]      posedge:CLK  101.446:177.369:273.271
HOLD      posedge:D[13]      posedge:CLK  99.3218:173.655:267.549
HOLD      posedge:D[14]      posedge:CLK  69.0857:120.79:186.1
HOLD      posedge:D[15]      posedge:CLK  103.59:181.119:279.048
HOLD      posedge:DHOLD      posedge:CLK  96.4425:168.621:259.793
HOLD      posedge:OHOLDBOT   posedge:CLK  92.3813:161.521:248.853
HOLD      posedge:OHOLDTOP   posedge:CLK  91.8862:160.655:247.519
HOLD      posedge:OLOADBOT   posedge:CLK  84.2092:147.232:226.839
HOLD      posedge:OLOADTOP   posedge:CLK  81.0836:141.768:218.42
RECOVERY  negedge:IRSTBOT    posedge:CLK  392.14:685.622:1056.33
RECOVERY  negedge:IRSTTOP    posedge:CLK  417.485:729.935:1124.6
RECOVERY  negedge:ORSTBOT    posedge:CLK  302.84:529.489:815.777
RECOVERY  negedge:ORSTTOP    posedge:CLK  348.814:609.871:939.622
RECOVERY  posedge:IRSTBOT    posedge:CLK  0:0:0
RECOVERY  posedge:IRSTTOP    posedge:CLK  0:0:0
RECOVERY  posedge:ORSTBOT    posedge:CLK  0:0:0
RECOVERY  posedge:ORSTTOP    posedge:CLK  0:0:0
REMOVAL   negedge:IRSTBOT    posedge:CLK  0:0:0
REMOVAL   negedge:IRSTTOP    posedge:CLK  0:0:0
REMOVAL   negedge:ORSTBOT    posedge:CLK  0:0:0
REMOVAL   negedge:ORSTTOP    posedge:CLK  0:0:0
REMOVAL   posedge:IRSTBOT    posedge:CLK  0:0:0
REMOVAL   posedge:IRSTTOP    posedge:CLK  0:0:0
REMOVAL   posedge:ORSTBOT    posedge:CLK  0:0:0
REMOVAL   posedge:ORSTTOP    posedge:CLK  0:0:0
SETUP     negedge:A[0]       posedge:CLK  -38.0915:-66.5997:-102.609
SETUP     negedge:A[1]       posedge:CLK  -35.3421:-61.7925:-95.2031
SETUP     negedge:A[2]       posedge:CLK  -36.3771:-63.6021:-97.991
SETUP     negedge:A[3]       posedge:CLK  -31.7809:-55.5661:-85.61
SETUP     negedge:A[4]       posedge:CLK  -33.0592:-57.8012:-89.0536
SETUP     negedge:A[5]       posedge:CLK  -24.3846:-42.6344:-65.6863
SETUP     negedge:A[6]       posedge:CLK  -37.1962:-65.0343:-100.198
SETUP     negedge:A[7]       posedge:CLK  -29.4636:-51.5146:-79.3679
SETUP     negedge:A[8]       posedge:CLK  -23.3442:-40.8153:-62.8837
SETUP     negedge:A[9]       posedge:CLK  -7.81812:-13.6693:-21.0601
SETUP     negedge:A[10]      posedge:CLK  -20.4556:-35.7649:-55.1026
SETUP     negedge:A[11]      posedge:CLK  -20.6528:-36.1096:-55.6337
SETUP     negedge:A[12]      posedge:CLK  -18.7825:-32.8395:-50.5955
SETUP     negedge:A[13]      posedge:CLK  -19.3445:-33.8221:-52.1093
SETUP     negedge:A[14]      posedge:CLK  -11.0696:-19.3542:-29.8187
SETUP     negedge:A[15]      posedge:CLK  -20.8421:-36.4406:-56.1436
SETUP     negedge:ADDSUBBOT  posedge:CLK  674.109:1178.62:1815.89
SETUP     negedge:ADDSUBTOP  posedge:CLK  676.751:1183.24:1823
SETUP     negedge:AHOLD      posedge:CLK  140.197:245.122:377.657
SETUP     negedge:B[0]       posedge:CLK  -26.7973:-46.8527:-72.1854
SETUP     negedge:B[1]       posedge:CLK  -26.5755:-46.465:-71.588
SETUP     negedge:B[2]       posedge:CLK  -26.8351:-46.9189:-72.2874
SETUP     negedge:B[3]       posedge:CLK  -31.2808:-54.6918:-84.2631
SETUP     negedge:B[4]       posedge:CLK  -20.525:-35.8861:-55.2893
SETUP     negedge:B[5]       posedge:CLK  -23.0684:-40.3331:-62.1407
SETUP     negedge:B[6]       posedge:CLK  -21.2841:-37.2134:-57.3343
SETUP     negedge:B[7]       posedge:CLK  -21.3225:-37.2805:-57.4376
SETUP     negedge:B[8]       posedge:CLK  -24.4284:-42.7109:-65.8042
SETUP     negedge:B[9]       posedge:CLK  -28.408:-49.6689:-76.5243
SETUP     negedge:B[10]      posedge:CLK  -9.44262:-16.5096:-25.4361
SETUP     negedge:B[11]      posedge:CLK  -20.5353:-35.9042:-55.3171
SETUP     negedge:B[12]      posedge:CLK  -21.4931:-37.5788:-57.8972
SETUP     negedge:B[13]      posedge:CLK  -6.6853:-11.6887:-18.0086
SETUP     negedge:B[14]      posedge:CLK  -10.8006:-18.8839:-29.0943
SETUP     negedge:B[15]      posedge:CLK  -13.8633:-24.2387:-37.3443
SETUP     negedge:BHOLD      posedge:CLK  130.019:227.327:350.24
SETUP     negedge:C[0]       posedge:CLK  -32.0021:-55.9529:-86.206
SETUP     negedge:C[1]       posedge:CLK  -33.1822:-58.0161:-89.3847
SETUP     negedge:C[2]       posedge:CLK  -38.8217:-67.8763:-104.576
SETUP     negedge:C[3]       posedge:CLK  -36.8073:-64.3543:-99.1499
SETUP     negedge:C[4]       posedge:CLK  -31.1963:-54.544:-84.0353
SETUP     negedge:C[5]       posedge:CLK  -30.7597:-53.7806:-82.8591
SETUP     negedge:C[6]       posedge:CLK  -34.7855:-60.8194:-93.7038
SETUP     negedge:C[7]       posedge:CLK  -30.8737:-53.98:-83.1664
SETUP     negedge:C[8]       posedge:CLK  -31.5645:-55.1878:-85.0273
SETUP     negedge:C[9]       posedge:CLK  -34.2737:-59.9245:-92.325
SETUP     negedge:C[10]      posedge:CLK  -31.9417:-55.8472:-86.0431
SETUP     negedge:C[11]      posedge:CLK  -31.4224:-54.9394:-84.6445
SETUP     negedge:C[12]      posedge:CLK  -34.9605:-61.1255:-94.1753
SETUP     negedge:C[13]      posedge:CLK  -34.6709:-60.6191:-93.3952
SETUP     negedge:C[14]      posedge:CLK  -33.6709:-58.8706:-90.7012
SETUP     negedge:C[15]      posedge:CLK  -42.5692:-74.4285:-114.671
SETUP     negedge:CHOLD      posedge:CLK  96.6549:168.993:260.365
SETUP     negedge:D[0]       posedge:CLK  -23.8202:-41.6475:-64.1658
SETUP     negedge:D[1]       posedge:CLK  -22.475:-39.2955:-60.5421
SETUP     negedge:D[2]       posedge:CLK  -19.3685:-33.8642:-52.1742
SETUP     negedge:D[3]       posedge:CLK  -23.4013:-40.9151:-63.0374
SETUP     negedge:D[4]       posedge:CLK  -13.3534:-23.3472:-35.9708
SETUP     negedge:D[5]       posedge:CLK  -19.9374:-34.8588:-53.7066
SETUP     negedge:D[6]       posedge:CLK  -15.8963:-27.7934:-42.8209
SETUP     negedge:D[7]       posedge:CLK  -21.8372:-38.1805:-58.8243
SETUP     negedge:D[8]       posedge:CLK  -44.7159:-78.1818:-120.454
SETUP     negedge:D[9]       posedge:CLK  -14.6229:-25.5669:-39.3906
SETUP     negedge:D[10]      posedge:CLK  -45.6397:-79.7971:-122.942
SETUP     negedge:D[11]      posedge:CLK  -46.5478:-81.3848:-125.389
SETUP     negedge:D[12]      posedge:CLK  -45.9701:-80.3747:-123.832
SETUP     negedge:D[13]      posedge:CLK  -44.4627:-77.7391:-119.772
SETUP     negedge:D[14]      posedge:CLK  -15.2463:-26.6569:-41.07
SETUP     negedge:D[15]      posedge:CLK  -42.8785:-74.9693:-115.504
SETUP     negedge:DHOLD      posedge:CLK  118.176:206.62:318.338
SETUP     negedge:OHOLDBOT   posedge:CLK  160.151:280.01:431.408
SETUP     negedge:OHOLDTOP   posedge:CLK  108.807:190.239:293.099
SETUP     negedge:OLOADBOT   posedge:CLK  168.975:295.439:455.179
SETUP     negedge:OLOADTOP   posedge:CLK  137.087:239.685:369.28
SETUP     posedge:A[0]       posedge:CLK  -25.8188:-45.142:-69.5497
SETUP     posedge:A[1]       posedge:CLK  -21.4842:-37.5633:-57.8733
SETUP     posedge:A[2]       posedge:CLK  -27.8263:-48.6519:-74.9575
SETUP     posedge:A[3]       posedge:CLK  -15.2119:-26.5967:-40.9773
SETUP     posedge:A[4]       posedge:CLK  -17.8208:-31.158:-48.0048
SETUP     posedge:A[5]       posedge:CLK  -12.7796:-22.344:-34.4252
SETUP     posedge:A[6]       posedge:CLK  -27.0839:-47.3538:-72.9575
SETUP     posedge:A[7]       posedge:CLK  -18.7776:-32.8309:-50.5822
SETUP     posedge:A[8]       posedge:CLK  -19.8838:-34.7651:-53.5622
SETUP     posedge:A[9]       posedge:CLK  5.23535:9.15356:14.1028
SETUP     posedge:A[10]      posedge:CLK  -10.9398:-19.1272:-29.4691
SETUP     posedge:A[11]      posedge:CLK  -14.8432:-25.952:-39.9839
SETUP     posedge:A[12]      posedge:CLK  -9.94953:-17.3959:-26.8016
SETUP     posedge:A[13]      posedge:CLK  -13.6042:-23.7857:-36.6463
SETUP     posedge:A[14]      posedge:CLK  -3.18114:-5.56194:-8.56922
SETUP     posedge:A[15]      posedge:CLK  -11.4329:-19.9895:-30.7975
SETUP     posedge:ADDSUBBOT  posedge:CLK  694.808:1214.81:1871.65
SETUP     posedge:ADDSUBTOP  posedge:CLK  695.378:1215.81:1873.18
SETUP     posedge:AHOLD      posedge:CLK  112.255:196.268:302.387
SETUP     posedge:B[0]       posedge:CLK  -18.075:-31.6025:-48.6896
SETUP     posedge:B[1]       posedge:CLK  -20.1946:-35.3084:-54.3993
SETUP     posedge:B[2]       posedge:CLK  -19.0839:-33.3665:-51.4073
SETUP     posedge:B[3]       posedge:CLK  -23.8044:-41.62:-64.1234
SETUP     posedge:B[4]       posedge:CLK  -10.3655:-18.1232:-27.9221
SETUP     posedge:B[5]       posedge:CLK  -12.6036:-22.0363:-33.951
SETUP     posedge:B[6]       posedge:CLK  -9.62109:-16.8216:-25.9169
SETUP     posedge:B[7]       posedge:CLK  -11.7245:-20.4992:-31.5829
SETUP     posedge:B[8]       posedge:CLK  -18.3763:-32.1294:-49.5015
SETUP     posedge:B[9]       posedge:CLK  -21.528:-37.6398:-57.9912
SETUP     posedge:B[10]      posedge:CLK  0.92189:1.61184:2.48335
SETUP     posedge:B[11]      posedge:CLK  -10.1437:-17.7355:-27.3248
SETUP     posedge:B[12]      posedge:CLK  -8.14017:-14.2324:-21.9277
SETUP     posedge:B[13]      posedge:CLK  2.41314:4.21916:6.50042
SETUP     posedge:B[14]      posedge:CLK  -3.28586:-5.74504:-8.85132
SETUP     posedge:B[15]      posedge:CLK  -5.1262:-8.96272:-13.8088
SETUP     posedge:BHOLD      posedge:CLK  108.711:190.072:292.842
SETUP     posedge:C[0]       posedge:CLK  -25.8862:-45.2597:-69.7312
SETUP     posedge:C[1]       posedge:CLK  -23.8275:-41.6604:-64.1857
SETUP     posedge:C[2]       posedge:CLK  -27.8588:-48.7087:-75.0449
SETUP     posedge:C[3]       posedge:CLK  -24.1462:-42.2174:-65.0439
SETUP     posedge:C[4]       posedge:CLK  -20.8475:-36.45:-56.1582
SETUP     posedge:C[5]       posedge:CLK  -23.6422:-41.3363:-63.6864
SETUP     posedge:C[6]       posedge:CLK  -30.5153:-53.3534:-82.2009
SETUP     posedge:C[7]       posedge:CLK  -22.0467:-38.5467:-59.3885
SETUP     posedge:C[8]       posedge:CLK  -25.5445:-44.6623:-68.8107
SETUP     posedge:C[9]       posedge:CLK  -26.9389:-47.1003:-72.5668
SETUP     posedge:C[10]      posedge:CLK  -22.6008:-39.5156:-60.8812
SETUP     posedge:C[11]      posedge:CLK  -21.5978:-37.7619:-58.1793
SETUP     posedge:C[12]      posedge:CLK  -28.1626:-49.2399:-75.8634
SETUP     posedge:C[13]      posedge:CLK  -23.9687:-41.9071:-64.5658
SETUP     posedge:C[14]      posedge:CLK  -26.9585:-47.1346:-72.6198
SETUP     posedge:C[15]      posedge:CLK  -35.9149:-62.794:-96.746
SETUP     posedge:CHOLD      posedge:CLK  75.0689:131.251:202.218
SETUP     posedge:D[0]       posedge:CLK  -15.445:-27.0042:-41.6051
SETUP     posedge:D[1]       posedge:CLK  -11.1772:-19.5424:-30.1088
SETUP     posedge:D[2]       posedge:CLK  -5.66311:-9.90145:-15.2551
SETUP     posedge:D[3]       posedge:CLK  -15.0821:-26.3698:-40.6276
SETUP     posedge:D[4]       posedge:CLK  -7.67259:-13.4148:-20.6681
SETUP     posedge:D[5]       posedge:CLK  -12.6646:-22.1429:-34.1153
SETUP     posedge:D[6]       posedge:CLK  -4.97968:-8.70654:-13.4141
SETUP     posedge:D[7]       posedge:CLK  -14.8422:-25.9503:-39.9813
SETUP     posedge:D[8]       posedge:CLK  -40.6576:-71.0862:-109.522
SETUP     posedge:D[9]       posedge:CLK  -5.58739:-9.76907:-15.0511
SETUP     posedge:D[10]      posedge:CLK  -36.4238:-63.6838:-98.1169
SETUP     posedge:D[11]      posedge:CLK  -42.2919:-73.9437:-113.924
SETUP     posedge:D[12]      posedge:CLK  -38.0984:-66.6117:-102.628
SETUP     posedge:D[13]      posedge:CLK  -30.5183:-53.3585:-82.2088
SETUP     posedge:D[14]      posedge:CLK  -7.14846:-12.4985:-19.2562
SETUP     posedge:D[15]      posedge:CLK  -33.6458:-58.8267:-90.6337
SETUP     posedge:DHOLD      posedge:CLK  95.2723:166.575:256.641
SETUP     posedge:OHOLDBOT   posedge:CLK  128.728:225.07:346.763
SETUP     posedge:OHOLDTOP   posedge:CLK  78.8499:137.862:212.403
SETUP     posedge:OLOADBOT   posedge:CLK  163.125:285.211:439.421
SETUP     posedge:OLOADTOP   posedge:CLK  145.594:254.558:392.195
IOPATH    ADDSUBTOP          ACCUMCO      787.543:1376.95:2121.45     929.935:1625.91:2505.02
IOPATH    ADDSUBTOP          CO           226.038:395.208:608.892     220.948:386.308:595.18
IOPATH    posedge:CLK        ACCUMCO      1164.12:2035.36:3135.86     1305.33:2282.25:3516.23
IOPATH    posedge:CLK        CO           1445.56:2527.43:3893.98     1573.77:2751.61:4239.37
IOPATH    posedge:CLK        O[0]         484.416:846.959:1304.9      503.908:881.039:1357.41
IOPATH    posedge:CLK        O[1]         480.851:840.726:1295.3      488.366:853.864:1315.54
IOPATH    posedge:CLK        O[2]         494.478:864.552:1332        511.702:894.667:1378.4
IOPATH    posedge:CLK        O[3]         533.81:933.32:1437.95       552.823:966.562:1489.17
IOPATH    posedge:CLK        O[4]         495.289:865.969:1334.19     516.326:902.751:1390.86
IOPATH    posedge:CLK        O[5]         505.429:883.699:1361.5      531.232:928.813:1431.01
IOPATH    posedge:CLK        O[6]         565.569:988.849:1523.51     599.667:1048.47:1615.36
IOPATH    posedge:CLK        O[7]         548.402:958.833:1477.26     583.72:1020.58:1572.4
IOPATH    posedge:CLK        O[8]         582.967:1019.27:1570.37     630.997:1103.24:1699.75
IOPATH    posedge:CLK        O[9]         584.23:1021.47:1573.77      644.231:1126.38:1735.4
IOPATH    posedge:CLK        O[10]        562.29:983.114:1514.67      601.295:1051.31:1619.74
IOPATH    posedge:CLK        O[11]        650.295:1136.98:1751.74     694.728:1214.67:1871.43
IOPATH    posedge:CLK        O[12]        562.067:982.725:1514.07     595.268:1040.77:1603.51
IOPATH    posedge:CLK        O[13]        577.028:1008.88:1554.37     623.397:1089.96:1679.28
IOPATH    posedge:CLK        O[14]        621.836:1087.23:1675.08     672.218:1175.32:1810.79
IOPATH    posedge:CLK        O[15]        628.433:1098.76:1692.85     676.544:1182.88:1822.45
IOPATH    posedge:CLK        O[16]        617.061:1078.88:1662.21     698.456:1221.19:1881.47
IOPATH    posedge:CLK        O[17]        614.371:1074.17:1654.97     684.719:1197.17:1844.47
IOPATH    posedge:CLK        O[18]        634.244:1108.92:1708.5      727.072:1271.22:1958.56
IOPATH    posedge:CLK        O[19]        679.618:1188.25:1830.73     736.514:1287.73:1983.99
IOPATH    posedge:CLK        O[20]        636.406:1112.7:1714.32      683.383:1194.84:1840.87
IOPATH    posedge:CLK        O[21]        575.928:1006.96:1551.41     615.075:1075.4:1656.86
IOPATH    posedge:CLK        O[22]        587.801:1027.72:1583.39     645.037:1127.79:1737.57
IOPATH    posedge:CLK        O[23]        584.933:1022.7:1575.67      632.227:1105.39:1703.07
IOPATH    posedge:CLK        O[24]        570.471:997.418:1536.71     611.06:1068.39:1646.05
IOPATH    posedge:CLK        O[25]        583.366:1019.96:1571.45     631.082:1103.39:1699.98
IOPATH    posedge:CLK        O[26]        609.5:1065.66:1641.85       673.926:1178.3:1815.39
IOPATH    posedge:CLK        O[27]        566.18:989.917:1525.15      603.521:1055.2:1625.74
IOPATH    posedge:CLK        O[28]        556.046:972.197:1497.85     592.441:1035.83:1595.89
IOPATH    posedge:CLK        O[29]        554.749:969.929:1494.36     588.854:1029.56:1586.23
IOPATH    posedge:CLK        O[30]        538.732:941.926:1451.21     561.968:982.551:1513.81
IOPATH    posedge:CLK        O[31]        529.07:925.033:1425.19      550.159:961.905:1482
IOPATH    posedge:CLK        SIGNEXTOUT   718.342:1255.96:1935.04     697.215:1219.02:1878.13

CELL SB_MAC16_ACC_U_32P32_ALL_PIPELINE
HOLD      negedge:A[0]       posedge:CLK  110.748:193.633:298.328
HOLD      negedge:A[1]       posedge:CLK  109.024:190.62:293.686
HOLD      negedge:A[2]       posedge:CLK  106.197:185.676:286.069
HOLD      negedge:A[3]       posedge:CLK  106.3:185.856:286.347
HOLD      negedge:A[4]       posedge:CLK  107.158:187.357:288.658
HOLD      negedge:A[5]       posedge:CLK  90.4067:158.068:243.534
HOLD      negedge:A[6]       posedge:CLK  108.701:190.055:292.816
HOLD      negedge:A[7]       posedge:CLK  104.622:182.922:281.825
HOLD      negedge:A[8]       posedge:CLK  88.5624:154.844:238.566
HOLD      negedge:A[9]       posedge:CLK  82.9013:144.946:223.316
HOLD      negedge:A[10]      posedge:CLK  87.3323:152.693:235.252
HOLD      negedge:A[11]      posedge:CLK  85.0878:148.769:229.206
HOLD      negedge:A[12]      posedge:CLK  84.4245:147.609:227.419
HOLD      negedge:A[13]      posedge:CLK  84.4668:147.683:227.533
HOLD      negedge:A[14]      posedge:CLK  82.0173:143.4:220.935
HOLD      negedge:A[15]      posedge:CLK  89.7533:156.926:241.774
HOLD      negedge:ADDSUBBOT  posedge:CLK  33.9157:59.2987:91.3608
HOLD      negedge:ADDSUBTOP  posedge:CLK  31.7499:55.5119:85.5266
HOLD      negedge:AHOLD      posedge:CLK  99.8238:174.533:268.901
HOLD      negedge:B[0]       posedge:CLK  97.9997:171.344:263.987
HOLD      negedge:B[1]       posedge:CLK  100.797:176.234:271.522
HOLD      negedge:B[2]       posedge:CLK  95.8668:167.615:258.242
HOLD      negedge:B[3]       posedge:CLK  98.2086:171.709:264.55
HOLD      negedge:B[4]       posedge:CLK  99.1261:173.313:267.022
HOLD      negedge:B[5]       posedge:CLK  92.5696:161.85:249.36
HOLD      negedge:B[6]       posedge:CLK  90.3049:157.89:243.26
HOLD      negedge:B[7]       posedge:CLK  90.4092:158.073:243.541
HOLD      negedge:B[8]       posedge:CLK  89.4381:156.375:240.925
HOLD      negedge:B[9]       posedge:CLK  95.4897:166.955:257.226
HOLD      negedge:B[10]      posedge:CLK  82.0453:143.449:221.01
HOLD      negedge:B[11]      posedge:CLK  87.8741:153.64:236.712
HOLD      negedge:B[12]      posedge:CLK  92.8081:162.267:250.003
HOLD      negedge:B[13]      posedge:CLK  87.9365:153.749:236.88
HOLD      negedge:B[14]      posedge:CLK  83.4648:145.931:224.834
HOLD      negedge:B[15]      posedge:CLK  96.707:169.084:260.505
HOLD      negedge:BHOLD      posedge:CLK  87.0968:152.281:234.618
HOLD      negedge:C[0]       posedge:CLK  117.028:204.613:315.245
HOLD      negedge:C[1]       posedge:CLK  118.587:207.339:319.445
HOLD      negedge:C[2]       posedge:CLK  116.275:203.296:313.216
HOLD      negedge:C[3]       posedge:CLK  114.747:200.625:309.101
HOLD      negedge:C[4]       posedge:CLK  115.462:201.875:311.027
HOLD      negedge:C[5]       posedge:CLK  116.556:203.788:313.974
HOLD      negedge:C[6]       posedge:CLK  115.685:202.265:311.628
HOLD      negedge:C[7]       posedge:CLK  118.049:206.399:317.996
HOLD      negedge:C[8]       posedge:CLK  116.568:203.809:314.005
HOLD      negedge:C[9]       posedge:CLK  115.634:202.176:311.49
HOLD      negedge:C[10]      posedge:CLK  114.576:200.327:308.641
HOLD      negedge:C[11]      posedge:CLK  116.01:202.834:312.504
HOLD      negedge:C[12]      posedge:CLK  117.593:205.602:316.768
HOLD      negedge:C[13]      posedge:CLK  116.004:202.823:312.488
HOLD      negedge:C[14]      posedge:CLK  115.659:202.22:311.558
HOLD      negedge:C[15]      posedge:CLK  115.814:202.49:311.974
HOLD      negedge:CHOLD      posedge:CLK  105.921:185.194:285.326
HOLD      negedge:CI         posedge:CLK  -81.886:-143.17:-220.581
HOLD      negedge:D[0]       posedge:CLK  93.8499:164.088:252.809
HOLD      negedge:D[1]       posedge:CLK  91.1157:159.308:245.444
HOLD      negedge:D[2]       posedge:CLK  90.5296:158.283:243.865
HOLD      negedge:D[3]       posedge:CLK  88.619:154.943:238.718
HOLD      negedge:D[4]       posedge:CLK  87.0284:152.162:234.434
HOLD      negedge:D[5]       posedge:CLK  91.8198:160.539:247.34
HOLD      negedge:D[6]       posedge:CLK  91.8916:160.664:247.534
HOLD      negedge:D[7]       posedge:CLK  89.1097:155.8:240.04
HOLD      negedge:D[8]       posedge:CLK  124.891:218.361:336.426
HOLD      negedge:D[9]       posedge:CLK  85.2382:149.032:229.611
HOLD      negedge:D[10]      posedge:CLK  127.421:222.785:343.243
HOLD      negedge:D[11]      posedge:CLK  125.995:220.291:339.401
HOLD      negedge:D[12]      posedge:CLK  124.859:218.305:336.34
HOLD      negedge:D[13]      posedge:CLK  122.833:214.763:330.883
HOLD      negedge:D[14]      posedge:CLK  89.7017:156.836:241.635
HOLD      negedge:D[15]      posedge:CLK  127.656:223.195:343.873
HOLD      negedge:DHOLD      posedge:CLK  115.052:201.159:309.923
HOLD      negedge:OHOLDBOT   posedge:CLK  77.3041:135.159:208.239
HOLD      negedge:OHOLDTOP   posedge:CLK  77.3316:135.208:208.313
HOLD      negedge:OLOADBOT   posedge:CLK  95.2856:166.599:256.676
HOLD      negedge:OLOADTOP   posedge:CLK  87.8451:153.589:236.634
HOLD      posedge:A[0]       posedge:CLK  85.7097:149.856:230.881
HOLD      posedge:A[1]       posedge:CLK  84.2347:147.277:226.908
HOLD      posedge:A[2]       posedge:CLK  80.3687:140.518:216.494
HOLD      posedge:A[3]       posedge:CLK  80.0702:139.996:215.69
HOLD      posedge:A[4]       posedge:CLK  81.8516:143.11:220.488
HOLD      posedge:A[5]       posedge:CLK  69.0115:120.661:185.9
HOLD      posedge:A[6]       posedge:CLK  82.5748:144.375:222.437
HOLD      posedge:A[7]       posedge:CLK  79.1198:138.334:213.13
HOLD      posedge:A[8]       posedge:CLK  67.9357:118.78:183.002
HOLD      posedge:A[9]       posedge:CLK  63.8627:111.658:172.031
HOLD      posedge:A[10]      posedge:CLK  67.2537:117.587:181.165
HOLD      posedge:A[11]      posedge:CLK  65.436:114.409:176.269
HOLD      posedge:A[12]      posedge:CLK  65.4616:114.454:176.338
HOLD      posedge:A[13]      posedge:CLK  64.7713:113.247:174.478
HOLD      posedge:A[14]      posedge:CLK  61.6364:107.766:166.034
HOLD      posedge:A[15]      posedge:CLK  68.3521:119.508:184.124
HOLD      posedge:ADDSUBBOT  posedge:CLK  28.5314:49.8847:76.8567
HOLD      posedge:ADDSUBTOP  posedge:CLK  25.6522:44.8505:69.1007
HOLD      posedge:AHOLD      posedge:CLK  80.3569:140.497:216.462
HOLD      posedge:B[0]       posedge:CLK  78.3081:136.915:210.943
HOLD      posedge:B[1]       posedge:CLK  79.4822:138.968:214.106
HOLD      posedge:B[2]       posedge:CLK  75.7681:132.474:204.101
HOLD      posedge:B[3]       posedge:CLK  77.8159:136.054:209.617
HOLD      posedge:B[4]       posedge:CLK  79.2472:138.557:213.473
HOLD      posedge:B[5]       posedge:CLK  71.6174:125.217:192.92
HOLD      posedge:B[6]       posedge:CLK  69.5818:121.658:187.437
HOLD      posedge:B[7]       posedge:CLK  69.9963:122.382:188.553
HOLD      posedge:B[8]       posedge:CLK  68.4549:119.687:184.401
HOLD      posedge:B[9]       posedge:CLK  74.2945:129.897:200.132
HOLD      posedge:B[10]      posedge:CLK  63.8243:111.591:171.927
HOLD      posedge:B[11]      posedge:CLK  66.9735:117.097:180.41
HOLD      posedge:B[12]      posedge:CLK  71.9478:125.794:193.81
HOLD      posedge:B[13]      posedge:CLK  67.62:118.228:182.152
HOLD      posedge:B[14]      posedge:CLK  63.871:111.673:172.053
HOLD      posedge:B[15]      posedge:CLK  75.6648:132.293:203.823
HOLD      posedge:BHOLD      posedge:CLK  72.0648:125.999:194.125
HOLD      posedge:C[0]       posedge:CLK  96.5222:168.761:260.007
HOLD      posedge:C[1]       posedge:CLK  98.1752:171.651:264.46
HOLD      posedge:C[2]       posedge:CLK  95.6401:167.218:257.631
HOLD      posedge:C[3]       posedge:CLK  95.1755:166.406:256.38
HOLD      posedge:C[4]       posedge:CLK  95.292:166.61:256.694
HOLD      posedge:C[5]       posedge:CLK  96.5187:168.755:259.998
HOLD      posedge:C[6]       posedge:CLK  93.3258:163.172:251.397
HOLD      posedge:C[7]       posedge:CLK  97.2051:169.955:261.847
HOLD      posedge:C[8]       posedge:CLK  95.3171:166.654:256.761
HOLD      posedge:C[9]       posedge:CLK  93.9104:164.194:252.972
HOLD      posedge:C[10]      posedge:CLK  92.6011:161.905:249.445
HOLD      posedge:C[11]      posedge:CLK  95.0496:166.186:256.041
HOLD      posedge:C[12]      posedge:CLK  97.0532:169.689:261.438
HOLD      posedge:C[13]      posedge:CLK  94.8544:165.845:255.515
HOLD      posedge:C[14]      posedge:CLK  93.6616:163.759:252.302
HOLD      posedge:C[15]      posedge:CLK  95.674:167.278:257.723
HOLD      posedge:CHOLD      posedge:CLK  90.7543:158.676:244.47
HOLD      posedge:CI         posedge:CLK  -78.4718:-137.201:-211.384
HOLD      posedge:D[0]       posedge:CLK  73.7198:128.893:198.583
HOLD      posedge:D[1]       posedge:CLK  70.7638:123.724:190.621
HOLD      posedge:D[2]       posedge:CLK  68.2553:119.338:183.863
HOLD      posedge:D[3]       posedge:CLK  67.0959:117.311:180.74
HOLD      posedge:D[4]       posedge:CLK  66.5605:116.375:179.298
HOLD      posedge:D[5]       posedge:CLK  70.8135:123.811:190.754
HOLD      posedge:D[6]       posedge:CLK  72.5363:126.823:195.395
HOLD      posedge:D[7]       posedge:CLK  69.069:120.761:186.055
HOLD      posedge:D[8]       posedge:CLK  102.435:179.099:275.935
HOLD      posedge:D[9]       posedge:CLK  67.0453:117.223:180.604
HOLD      posedge:D[10]      posedge:CLK  103.325:180.656:278.334
HOLD      posedge:D[11]      posedge:CLK  102.772:179.688:276.843
HOLD      posedge:D[12]      posedge:CLK  101.446:177.369:273.271
HOLD      posedge:D[13]      posedge:CLK  99.3218:173.655:267.549
HOLD      posedge:D[14]      posedge:CLK  69.0857:120.79:186.1
HOLD      posedge:D[15]      posedge:CLK  103.59:181.119:279.048
HOLD      posedge:DHOLD      posedge:CLK  96.4425:168.621:259.793
HOLD      posedge:OHOLDBOT   posedge:CLK  92.3813:161.521:248.853
HOLD      posedge:OHOLDTOP   posedge:CLK  91.8862:160.655:247.519
HOLD      posedge:OLOADBOT   posedge:CLK  84.2092:147.232:226.839
HOLD      posedge:OLOADTOP   posedge:CLK  81.0836:141.768:218.42
RECOVERY  negedge:IRSTBOT    posedge:CLK  392.14:685.622:1056.33
RECOVERY  negedge:IRSTTOP    posedge:CLK  417.485:729.935:1124.6
RECOVERY  negedge:ORSTBOT    posedge:CLK  302.84:529.489:815.777
RECOVERY  negedge:ORSTTOP    posedge:CLK  348.814:609.871:939.622
RECOVERY  posedge:IRSTBOT    posedge:CLK  0:0:0
RECOVERY  posedge:IRSTTOP    posedge:CLK  0:0:0
RECOVERY  posedge:ORSTBOT    posedge:CLK  0:0:0
RECOVERY  posedge:ORSTTOP    posedge:CLK  0:0:0
REMOVAL   negedge:IRSTBOT    posedge:CLK  0:0:0
REMOVAL   negedge:IRSTTOP    posedge:CLK  0:0:0
REMOVAL   negedge:ORSTBOT    posedge:CLK  0:0:0
REMOVAL   negedge:ORSTTOP    posedge:CLK  0:0:0
REMOVAL   posedge:IRSTBOT    posedge:CLK  0:0:0
REMOVAL   posedge:IRSTTOP    posedge:CLK  0:0:0
REMOVAL   posedge:ORSTBOT    posedge:CLK  0:0:0
REMOVAL   posedge:ORSTTOP    posedge:CLK  0:0:0
SETUP     negedge:A[0]       posedge:CLK  -38.0915:-66.5997:-102.609
SETUP     negedge:A[1]       posedge:CLK  -35.3421:-61.7925:-95.2031
SETUP     negedge:A[2]       posedge:CLK  -36.3771:-63.6021:-97.991
SETUP     negedge:A[3]       posedge:CLK  -31.7809:-55.5661:-85.61
SETUP     negedge:A[4]       posedge:CLK  -33.0592:-57.8012:-89.0536
SETUP     negedge:A[5]       posedge:CLK  -24.3846:-42.6344:-65.6863
SETUP     negedge:A[6]       posedge:CLK  -37.1962:-65.0343:-100.198
SETUP     negedge:A[7]       posedge:CLK  -29.4636:-51.5146:-79.3679
SETUP     negedge:A[8]       posedge:CLK  -23.3442:-40.8153:-62.8837
SETUP     negedge:A[9]       posedge:CLK  -7.81812:-13.6693:-21.0601
SETUP     negedge:A[10]      posedge:CLK  -20.4556:-35.7649:-55.1026
SETUP     negedge:A[11]      posedge:CLK  -20.6528:-36.1096:-55.6337
SETUP     negedge:A[12]      posedge:CLK  -18.7825:-32.8395:-50.5955
SETUP     negedge:A[13]      posedge:CLK  -19.3445:-33.8221:-52.1093
SETUP     negedge:A[14]      posedge:CLK  -11.0696:-19.3542:-29.8187
SETUP     negedge:A[15]      posedge:CLK  -20.8421:-36.4406:-56.1436
SETUP     negedge:ADDSUBBOT  posedge:CLK  961.304:1680.76:2589.52
SETUP     negedge:ADDSUBTOP  posedge:CLK  681.67:1191.84:1836.25
SETUP     negedge:AHOLD      posedge:CLK  140.197:245.122:377.657
SETUP     negedge:B[0]       posedge:CLK  -26.7973:-46.8527:-72.1854
SETUP     negedge:B[1]       posedge:CLK  -26.5755:-46.465:-71.588
SETUP     negedge:B[2]       posedge:CLK  -26.8351:-46.9189:-72.2874
SETUP     negedge:B[3]       posedge:CLK  -31.2808:-54.6918:-84.2631
SETUP     negedge:B[4]       posedge:CLK  -20.525:-35.8861:-55.2893
SETUP     negedge:B[5]       posedge:CLK  -23.0684:-40.3331:-62.1407
SETUP     negedge:B[6]       posedge:CLK  -21.2841:-37.2134:-57.3343
SETUP     negedge:B[7]       posedge:CLK  -21.3225:-37.2805:-57.4376
SETUP     negedge:B[8]       posedge:CLK  -24.4284:-42.7109:-65.8042
SETUP     negedge:B[9]       posedge:CLK  -28.408:-49.6689:-76.5243
SETUP     negedge:B[10]      posedge:CLK  -9.44262:-16.5096:-25.4361
SETUP     negedge:B[11]      posedge:CLK  -20.5353:-35.9042:-55.3171
SETUP     negedge:B[12]      posedge:CLK  -21.4931:-37.5788:-57.8972
SETUP     negedge:B[13]      posedge:CLK  -6.6853:-11.6887:-18.0086
SETUP     negedge:B[14]      posedge:CLK  -10.8006:-18.8839:-29.0943
SETUP     negedge:B[15]      posedge:CLK  -13.8633:-24.2387:-37.3443
SETUP     negedge:BHOLD      posedge:CLK  130.019:227.327:350.24
SETUP     negedge:C[0]       posedge:CLK  -32.0021:-55.9529:-86.206
SETUP     negedge:C[1]       posedge:CLK  -33.1822:-58.0161:-89.3847
SETUP     negedge:C[2]       posedge:CLK  -38.8217:-67.8763:-104.576
SETUP     negedge:C[3]       posedge:CLK  -36.8073:-64.3543:-99.1499
SETUP     negedge:C[4]       posedge:CLK  -31.1963:-54.544:-84.0353
SETUP     negedge:C[5]       posedge:CLK  -30.7597:-53.7806:-82.8591
SETUP     negedge:C[6]       posedge:CLK  -34.7855:-60.8194:-93.7038
SETUP     negedge:C[7]       posedge:CLK  -30.8737:-53.98:-83.1664
SETUP     negedge:C[8]       posedge:CLK  -31.5645:-55.1878:-85.0273
SETUP     negedge:C[9]       posedge:CLK  -34.2737:-59.9245:-92.325
SETUP     negedge:C[10]      posedge:CLK  -31.9417:-55.8472:-86.0431
SETUP     negedge:C[11]      posedge:CLK  -31.4224:-54.9394:-84.6445
SETUP     negedge:C[12]      posedge:CLK  -34.9605:-61.1255:-94.1753
SETUP     negedge:C[13]      posedge:CLK  -34.6709:-60.6191:-93.3952
SETUP     negedge:C[14]      posedge:CLK  -33.6709:-58.8706:-90.7012
SETUP     negedge:C[15]      posedge:CLK  -42.5692:-74.4285:-114.671
SETUP     negedge:CHOLD      posedge:CLK  96.6549:168.993:260.365
SETUP     negedge:CI         posedge:CLK  629.059:1099.85:1694.53
SETUP     negedge:D[0]       posedge:CLK  -23.8202:-41.6475:-64.1658
SETUP     negedge:D[1]       posedge:CLK  -22.475:-39.2955:-60.5421
SETUP     negedge:D[2]       posedge:CLK  -19.3685:-33.8642:-52.1742
SETUP     negedge:D[3]       posedge:CLK  -23.4013:-40.9151:-63.0374
SETUP     negedge:D[4]       posedge:CLK  -13.3534:-23.3472:-35.9708
SETUP     negedge:D[5]       posedge:CLK  -19.9374:-34.8588:-53.7066
SETUP     negedge:D[6]       posedge:CLK  -15.8963:-27.7934:-42.8209
SETUP     negedge:D[7]       posedge:CLK  -21.8372:-38.1805:-58.8243
SETUP     negedge:D[8]       posedge:CLK  -44.7159:-78.1818:-120.454
SETUP     negedge:D[9]       posedge:CLK  -14.6229:-25.5669:-39.3906
SETUP     negedge:D[10]      posedge:CLK  -45.6397:-79.7971:-122.942
SETUP     negedge:D[11]      posedge:CLK  -46.5478:-81.3848:-125.389
SETUP     negedge:D[12]      posedge:CLK  -45.9701:-80.3747:-123.832
SETUP     negedge:D[13]      posedge:CLK  -44.4627:-77.7391:-119.772
SETUP     negedge:D[14]      posedge:CLK  -15.2463:-26.6569:-41.07
SETUP     negedge:D[15]      posedge:CLK  -42.8785:-74.9693:-115.504
SETUP     negedge:DHOLD      posedge:CLK  118.176:206.62:318.338
SETUP     negedge:OHOLDBOT   posedge:CLK  160.151:280.01:431.408
SETUP     negedge:OHOLDTOP   posedge:CLK  108.807:190.239:293.099
SETUP     negedge:OLOADBOT   posedge:CLK  168.975:295.439:455.179
SETUP     negedge:OLOADTOP   posedge:CLK  137.087:239.685:369.28
SETUP     posedge:A[0]       posedge:CLK  -25.8188:-45.142:-69.5497
SETUP     posedge:A[1]       posedge:CLK  -21.4842:-37.5633:-57.8733
SETUP     posedge:A[2]       posedge:CLK  -27.8263:-48.6519:-74.9575
SETUP     posedge:A[3]       posedge:CLK  -15.2119:-26.5967:-40.9773
SETUP     posedge:A[4]       posedge:CLK  -17.8208:-31.158:-48.0048
SETUP     posedge:A[5]       posedge:CLK  -12.7796:-22.344:-34.4252
SETUP     posedge:A[6]       posedge:CLK  -27.0839:-47.3538:-72.9575
SETUP     posedge:A[7]       posedge:CLK  -18.7776:-32.8309:-50.5822
SETUP     posedge:A[8]       posedge:CLK  -19.8838:-34.7651:-53.5622
SETUP     posedge:A[9]       posedge:CLK  5.23535:9.15356:14.1028
SETUP     posedge:A[10]      posedge:CLK  -10.9398:-19.1272:-29.4691
SETUP     posedge:A[11]      posedge:CLK  -14.8432:-25.952:-39.9839
SETUP     posedge:A[12]      posedge:CLK  -9.94953:-17.3959:-26.8016
SETUP     posedge:A[13]      posedge:CLK  -13.6042:-23.7857:-36.6463
SETUP     posedge:A[14]      posedge:CLK  -3.18114:-5.56194:-8.56922
SETUP     posedge:A[15]      posedge:CLK  -11.4329:-19.9895:-30.7975
SETUP     posedge:ADDSUBBOT  posedge:CLK  982.049:1717.03:2645.4
SETUP     posedge:ADDSUBTOP  posedge:CLK  700.297:1224.41:1886.43
SETUP     posedge:AHOLD      posedge:CLK  112.255:196.268:302.387
SETUP     posedge:B[0]       posedge:CLK  -18.075:-31.6025:-48.6896
SETUP     posedge:B[1]       posedge:CLK  -20.1946:-35.3084:-54.3993
SETUP     posedge:B[2]       posedge:CLK  -19.0839:-33.3665:-51.4073
SETUP     posedge:B[3]       posedge:CLK  -23.8044:-41.62:-64.1234
SETUP     posedge:B[4]       posedge:CLK  -10.3655:-18.1232:-27.9221
SETUP     posedge:B[5]       posedge:CLK  -12.6036:-22.0363:-33.951
SETUP     posedge:B[6]       posedge:CLK  -9.62109:-16.8216:-25.9169
SETUP     posedge:B[7]       posedge:CLK  -11.7245:-20.4992:-31.5829
SETUP     posedge:B[8]       posedge:CLK  -18.3763:-32.1294:-49.5015
SETUP     posedge:B[9]       posedge:CLK  -21.528:-37.6398:-57.9912
SETUP     posedge:B[10]      posedge:CLK  0.92189:1.61184:2.48335
SETUP     posedge:B[11]      posedge:CLK  -10.1437:-17.7355:-27.3248
SETUP     posedge:B[12]      posedge:CLK  -8.14017:-14.2324:-21.9277
SETUP     posedge:B[13]      posedge:CLK  2.41314:4.21916:6.50042
SETUP     posedge:B[14]      posedge:CLK  -3.28586:-5.74504:-8.85132
SETUP     posedge:B[15]      posedge:CLK  -5.1262:-8.96272:-13.8088
SETUP     posedge:BHOLD      posedge:CLK  108.711:190.072:292.842
SETUP     posedge:C[0]       posedge:CLK  -25.8862:-45.2597:-69.7312
SETUP     posedge:C[1]       posedge:CLK  -23.8275:-41.6604:-64.1857
SETUP     posedge:C[2]       posedge:CLK  -27.8588:-48.7087:-75.0449
SETUP     posedge:C[3]       posedge:CLK  -24.1462:-42.2174:-65.0439
SETUP     posedge:C[4]       posedge:CLK  -20.8475:-36.45:-56.1582
SETUP     posedge:C[5]       posedge:CLK  -23.6422:-41.3363:-63.6864
SETUP     posedge:C[6]       posedge:CLK  -30.5153:-53.3534:-82.2009
SETUP     posedge:C[7]       posedge:CLK  -22.0467:-38.5467:-59.3885
SETUP     posedge:C[8]       posedge:CLK  -25.5445:-44.6623:-68.8107
SETUP     posedge:C[9]       posedge:CLK  -26.9389:-47.1003:-72.5668
SETUP     posedge:C[10]      posedge:CLK  -22.6008:-39.5156:-60.8812
SETUP     posedge:C[11]      posedge:CLK  -21.5978:-37.7619:-58.1793
SETUP     posedge:C[12]      posedge:CLK  -28.1626:-49.2399:-75.8634
SETUP     posedge:C[13]      posedge:CLK  -23.9687:-41.9071:-64.5658
SETUP     posedge:C[14]      posedge:CLK  -26.9585:-47.1346:-72.6198
SETUP     posedge:C[15]      posedge:CLK  -35.9149:-62.794:-96.746
SETUP     posedge:CHOLD      posedge:CLK  75.0689:131.251:202.218
SETUP     posedge:CI         posedge:CLK  589.453:1030.61:1587.85
SETUP     posedge:D[0]       posedge:CLK  -15.445:-27.0042:-41.6051
SETUP     posedge:D[1]       posedge:CLK  -11.1772:-19.5424:-30.1088
SETUP     posedge:D[2]       posedge:CLK  -5.66311:-9.90145:-15.2551
SETUP     posedge:D[3]       posedge:CLK  -15.0821:-26.3698:-40.6276
SETUP     posedge:D[4]       posedge:CLK  -7.67259:-13.4148:-20.6681
SETUP     posedge:D[5]       posedge:CLK  -12.6646:-22.1429:-34.1153
SETUP     posedge:D[6]       posedge:CLK  -4.97968:-8.70654:-13.4141
SETUP     posedge:D[7]       posedge:CLK  -14.8422:-25.9503:-39.9813
SETUP     posedge:D[8]       posedge:CLK  -40.6576:-71.0862:-109.522
SETUP     posedge:D[9]       posedge:CLK  -5.58739:-9.76907:-15.0511
SETUP     posedge:D[10]      posedge:CLK  -36.4238:-63.6838:-98.1169
SETUP     posedge:D[11]      posedge:CLK  -42.2919:-73.9437:-113.924
SETUP     posedge:D[12]      posedge:CLK  -38.0984:-66.6117:-102.628
SETUP     posedge:D[13]      posedge:CLK  -30.5183:-53.3585:-82.2088
SETUP     posedge:D[14]      posedge:CLK  -7.14846:-12.4985:-19.2562
SETUP     posedge:D[15]      posedge:CLK  -33.6458:-58.8267:-90.6337
SETUP     posedge:DHOLD      posedge:CLK  95.2723:166.575:256.641
SETUP     posedge:OHOLDBOT   posedge:CLK  128.728:225.07:346.763
SETUP     posedge:OHOLDTOP   posedge:CLK  78.8499:137.862:212.403
SETUP     posedge:OLOADBOT   posedge:CLK  163.125:285.211:439.421
SETUP     posedge:OLOADTOP   posedge:CLK  145.594:254.558:392.195
IOPATH    ADDSUBBOT          ACCUMCO      1114.72:1948.99:3002.79     1231.03:2152.35:3316.1
IOPATH    ADDSUBBOT          CO           1396.16:2441.06:3760.91     1499.48:2621.71:4039.23
IOPATH    ADDSUBTOP          ACCUMCO      790.563:1382.23:2129.59     931.954:1629.44:2510.46
IOPATH    ADDSUBTOP          CO           226.038:395.208:608.892     220.943:386.299:595.167
IOPATH    CI                 ACCUMCO      599.791:1048.68:1615.69     630.946:1103.15:1699.62
IOPATH    CI                 CO           881.228:1540.75:2373.82     899.394:1572.51:2422.75
IOPATH    posedge:CLK        ACCUMCO      1417.31:2478.04:3817.89     1538.29:2689.57:4143.79
IOPATH    posedge:CLK        CO           1698.75:2970.11:4576.01     1806.74:3158.93:4866.92
IOPATH    posedge:CLK        O[0]         484.416:846.959:1304.9      503.908:881.039:1357.41
IOPATH    posedge:CLK        O[1]         480.851:840.726:1295.3      488.366:853.864:1315.54
IOPATH    posedge:CLK        O[2]         494.478:864.552:1332        511.702:894.667:1378.4
IOPATH    posedge:CLK        O[3]         533.81:933.32:1437.95       552.823:966.562:1489.17
IOPATH    posedge:CLK        O[4]         495.289:865.969:1334.19     516.326:902.751:1390.86
IOPATH    posedge:CLK        O[5]         505.429:883.699:1361.5      531.232:928.813:1431.01
IOPATH    posedge:CLK        O[6]         565.569:988.849:1523.51     599.667:1048.47:1615.36
IOPATH    posedge:CLK        O[7]         548.402:958.833:1477.26     583.72:1020.58:1572.4
IOPATH    posedge:CLK        O[8]         582.967:1019.27:1570.37     630.997:1103.24:1699.75
IOPATH    posedge:CLK        O[9]         584.23:1021.47:1573.77      644.231:1126.38:1735.4
IOPATH    posedge:CLK        O[10]        562.29:983.114:1514.67      601.295:1051.31:1619.74
IOPATH    posedge:CLK        O[11]        650.295:1136.98:1751.74     694.728:1214.67:1871.43
IOPATH    posedge:CLK        O[12]        562.067:982.725:1514.07     595.268:1040.77:1603.51
IOPATH    posedge:CLK        O[13]        577.028:1008.88:1554.37     623.397:1089.96:1679.28
IOPATH    posedge:CLK        O[14]        621.836:1087.23:1675.08     672.218:1175.32:1810.79
IOPATH    posedge:CLK        O[15]        628.433:1098.76:1692.85     676.544:1182.88:1822.45
IOPATH    posedge:CLK        O[16]        617.061:1078.88:1662.21     698.456:1221.19:1881.47
IOPATH    posedge:CLK        O[17]        614.371:1074.17:1654.97     684.719:1197.17:1844.47
IOPATH    posedge:CLK        O[18]        634.244:1108.92:1708.5      727.072:1271.22:1958.56
IOPATH    posedge:CLK        O[19]        679.618:1188.25:1830.73     736.514:1287.73:1983.99
IOPATH    posedge:CLK        O[20]        636.406:1112.7:1714.32      683.383:1194.84:1840.87
IOPATH    posedge:CLK        O[21]        575.928:1006.96:1551.41     615.075:1075.4:1656.86
IOPATH    posedge:CLK        O[22]        587.801:1027.72:1583.39     645.037:1127.79:1737.57
IOPATH    posedge:CLK        O[23]        584.933:1022.7:1575.67      632.227:1105.39:1703.07
IOPATH    posedge:CLK        O[24]        570.471:997.418:1536.71     611.06:1068.39:1646.05
IOPATH    posedge:CLK        O[25]        583.366:1019.96:1571.45     631.082:1103.39:1699.98
IOPATH    posedge:CLK        O[26]        609.5:1065.66:1641.85       673.926:1178.3:1815.39
IOPATH    posedge:CLK        O[27]        566.18:989.917:1525.15      603.521:1055.2:1625.74
IOPATH    posedge:CLK        O[28]        556.046:972.197:1497.85     592.441:1035.83:1595.89
IOPATH    posedge:CLK        O[29]        554.749:969.929:1494.36     588.854:1029.56:1586.23
IOPATH    posedge:CLK        O[30]        538.732:941.926:1451.21     561.968:982.551:1513.81
IOPATH    posedge:CLK        O[31]        529.07:925.033:1425.19      550.159:961.905:1482
IOPATH    posedge:CLK        SIGNEXTOUT   718.342:1255.96:1935.04     697.215:1219.02:1878.13

CELL SB_MAC16_ADS_U_16P16_ALL_PIPELINE
HOLD      negedge:A[0]       posedge:CLK  110.748:193.633:298.328
HOLD      negedge:A[1]       posedge:CLK  109.024:190.62:293.686
HOLD      negedge:A[2]       posedge:CLK  106.197:185.676:286.069
HOLD      negedge:A[3]       posedge:CLK  106.3:185.856:286.347
HOLD      negedge:A[4]       posedge:CLK  107.158:187.357:288.658
HOLD      negedge:A[5]       posedge:CLK  90.4067:158.068:243.534
HOLD      negedge:A[6]       posedge:CLK  108.701:190.055:292.816
HOLD      negedge:A[7]       posedge:CLK  104.622:182.922:281.825
HOLD      negedge:A[8]       posedge:CLK  88.5624:154.844:238.566
HOLD      negedge:A[9]       posedge:CLK  82.9013:144.946:223.316
HOLD      negedge:A[10]      posedge:CLK  87.3323:152.693:235.252
HOLD      negedge:A[11]      posedge:CLK  85.0878:148.769:229.206
HOLD      negedge:A[12]      posedge:CLK  84.4245:147.609:227.419
HOLD      negedge:A[13]      posedge:CLK  84.4668:147.683:227.533
HOLD      negedge:A[14]      posedge:CLK  82.0173:143.4:220.935
HOLD      negedge:A[15]      posedge:CLK  89.7533:156.926:241.774
HOLD      negedge:ADDSUBBOT  posedge:CLK  33.755:59.0176:90.9277
HOLD      negedge:ADDSUBTOP  posedge:CLK  31.7499:55.5119:85.5266
HOLD      negedge:AHOLD      posedge:CLK  99.8238:174.533:268.901
HOLD      negedge:B[0]       posedge:CLK  97.9997:171.344:263.987
HOLD      negedge:B[1]       posedge:CLK  100.797:176.234:271.522
HOLD      negedge:B[2]       posedge:CLK  95.8668:167.615:258.242
HOLD      negedge:B[3]       posedge:CLK  98.2086:171.709:264.55
HOLD      negedge:B[4]       posedge:CLK  99.1261:173.313:267.022
HOLD      negedge:B[5]       posedge:CLK  92.5696:161.85:249.36
HOLD      negedge:B[6]       posedge:CLK  90.3049:157.89:243.26
HOLD      negedge:B[7]       posedge:CLK  90.4092:158.073:243.541
HOLD      negedge:B[8]       posedge:CLK  89.4381:156.375:240.925
HOLD      negedge:B[9]       posedge:CLK  95.4897:166.955:257.226
HOLD      negedge:B[10]      posedge:CLK  82.0453:143.449:221.01
HOLD      negedge:B[11]      posedge:CLK  87.8741:153.64:236.712
HOLD      negedge:B[12]      posedge:CLK  92.8081:162.267:250.003
HOLD      negedge:B[13]      posedge:CLK  87.9365:153.749:236.88
HOLD      negedge:B[14]      posedge:CLK  83.4648:145.931:224.834
HOLD      negedge:B[15]      posedge:CLK  96.707:169.084:260.505
HOLD      negedge:BHOLD      posedge:CLK  87.0968:152.281:234.618
HOLD      negedge:C[0]       posedge:CLK  117.028:204.613:315.245
HOLD      negedge:C[1]       posedge:CLK  118.587:207.339:319.445
HOLD      negedge:C[2]       posedge:CLK  116.275:203.296:313.216
HOLD      negedge:C[3]       posedge:CLK  114.747:200.625:309.101
HOLD      negedge:C[4]       posedge:CLK  115.462:201.875:311.027
HOLD      negedge:C[5]       posedge:CLK  116.556:203.788:313.974
HOLD      negedge:C[6]       posedge:CLK  115.685:202.265:311.628
HOLD      negedge:C[7]       posedge:CLK  118.049:206.399:317.996
HOLD      negedge:C[8]       posedge:CLK  116.568:203.809:314.005
HOLD      negedge:C[9]       posedge:CLK  115.634:202.176:311.49
HOLD      negedge:C[10]      posedge:CLK  114.576:200.327:308.641
HOLD      negedge:C[11]      posedge:CLK  116.01:202.834:312.504
HOLD      negedge:C[12]      posedge:CLK  117.593:205.602:316.768
HOLD      negedge:C[13]      posedge:CLK  116.004:202.823:312.488
HOLD      negedge:C[14]      posedge:CLK  115.659:202.22:311.558
HOLD      negedge:C[15]      posedge:CLK  115.814:202.49:311.974
HOLD      negedge:CHOLD      posedge:CLK  105.921:185.194:285.326
HOLD      negedge:D[0]       posedge:CLK  93.8499:164.088:252.809
HOLD      negedge:D[1]       posedge:CLK  91.1157:159.308:245.444
HOLD      negedge:D[2]       posedge:CLK  90.5296:158.283:243.865
HOLD      negedge:D[3]       posedge:CLK  88.619:154.943:238.718
HOLD      negedge:D[4]       posedge:CLK  87.0284:152.162:234.434
HOLD      negedge:D[5]       posedge:CLK  91.8198:160.539:247.34
HOLD      negedge:D[6]       posedge:CLK  91.8916:160.664:247.534
HOLD      negedge:D[7]       posedge:CLK  89.1097:155.8:240.04
HOLD      negedge:D[8]       posedge:CLK  124.891:218.361:336.426
HOLD      negedge:D[9]       posedge:CLK  85.2382:149.032:229.611
HOLD      negedge:D[10]      posedge:CLK  127.421:222.785:343.243
HOLD      negedge:D[11]      posedge:CLK  125.995:220.291:339.401
HOLD      negedge:D[12]      posedge:CLK  124.859:218.305:336.34
HOLD      negedge:D[13]      posedge:CLK  122.833:214.763:330.883
HOLD      negedge:D[14]      posedge:CLK  89.7017:156.836:241.635
HOLD      negedge:D[15]      posedge:CLK  127.656:223.195:343.873
HOLD      negedge:DHOLD      posedge:CLK  115.052:201.159:309.923
HOLD      negedge:OHOLDBOT   posedge:CLK  77.3041:135.159:208.239
HOLD      negedge:OHOLDTOP   posedge:CLK  77.3316:135.208:208.313
HOLD      negedge:OLOADBOT   posedge:CLK  95.2856:166.599:256.676
HOLD      negedge:OLOADTOP   posedge:CLK  87.8451:153.589:236.634
HOLD      posedge:A[0]       posedge:CLK  85.7097:149.856:230.881
HOLD      posedge:A[1]       posedge:CLK  84.2347:147.277:226.908
HOLD      posedge:A[2]       posedge:CLK  80.3687:140.518:216.494
HOLD      posedge:A[3]       posedge:CLK  80.0702:139.996:215.69
HOLD      posedge:A[4]       posedge:CLK  81.8516:143.11:220.488
HOLD      posedge:A[5]       posedge:CLK  69.0115:120.661:185.9
HOLD      posedge:A[6]       posedge:CLK  82.5748:144.375:222.437
HOLD      posedge:A[7]       posedge:CLK  79.1198:138.334:213.13
HOLD      posedge:A[8]       posedge:CLK  67.9357:118.78:183.002
HOLD      posedge:A[9]       posedge:CLK  63.8627:111.658:172.031
HOLD      posedge:A[10]      posedge:CLK  67.2537:117.587:181.165
HOLD      posedge:A[11]      posedge:CLK  65.436:114.409:176.269
HOLD      posedge:A[12]      posedge:CLK  65.4616:114.454:176.338
HOLD      posedge:A[13]      posedge:CLK  64.7713:113.247:174.478
HOLD      posedge:A[14]      posedge:CLK  61.6364:107.766:166.034
HOLD      posedge:A[15]      posedge:CLK  68.3521:119.508:184.124
HOLD      posedge:ADDSUBBOT  posedge:CLK  28.4518:49.7454:76.6422
HOLD      posedge:ADDSUBTOP  posedge:CLK  25.6522:44.8505:69.1007
HOLD      posedge:AHOLD      posedge:CLK  80.3569:140.497:216.462
HOLD      posedge:B[0]       posedge:CLK  78.3081:136.915:210.943
HOLD      posedge:B[1]       posedge:CLK  79.4822:138.968:214.106
HOLD      posedge:B[2]       posedge:CLK  75.7681:132.474:204.101
HOLD      posedge:B[3]       posedge:CLK  77.8159:136.054:209.617
HOLD      posedge:B[4]       posedge:CLK  79.2472:138.557:213.473
HOLD      posedge:B[5]       posedge:CLK  71.6174:125.217:192.92
HOLD      posedge:B[6]       posedge:CLK  69.5818:121.658:187.437
HOLD      posedge:B[7]       posedge:CLK  69.9963:122.382:188.553
HOLD      posedge:B[8]       posedge:CLK  68.4549:119.687:184.401
HOLD      posedge:B[9]       posedge:CLK  74.2945:129.897:200.132
HOLD      posedge:B[10]      posedge:CLK  63.8243:111.591:171.927
HOLD      posedge:B[11]      posedge:CLK  66.9735:117.097:180.41
HOLD      posedge:B[12]      posedge:CLK  71.9478:125.794:193.81
HOLD      posedge:B[13]      posedge:CLK  67.62:118.228:182.152
HOLD      posedge:B[14]      posedge:CLK  63.871:111.673:172.053
HOLD      posedge:B[15]      posedge:CLK  75.6648:132.293:203.823
HOLD      posedge:BHOLD      posedge:CLK  72.0648:125.999:194.125
HOLD      posedge:C[0]       posedge:CLK  96.5222:168.761:260.007
HOLD      posedge:C[1]       posedge:CLK  98.1752:171.651:264.46
HOLD      posedge:C[2]       posedge:CLK  95.6401:167.218:257.631
HOLD      posedge:C[3]       posedge:CLK  95.1755:166.406:256.38
HOLD      posedge:C[4]       posedge:CLK  95.292:166.61:256.694
HOLD      posedge:C[5]       posedge:CLK  96.5187:168.755:259.998
HOLD      posedge:C[6]       posedge:CLK  93.3258:163.172:251.397
HOLD      posedge:C[7]       posedge:CLK  97.2051:169.955:261.847
HOLD      posedge:C[8]       posedge:CLK  95.3171:166.654:256.761
HOLD      posedge:C[9]       posedge:CLK  93.9104:164.194:252.972
HOLD      posedge:C[10]      posedge:CLK  92.6011:161.905:249.445
HOLD      posedge:C[11]      posedge:CLK  95.0496:166.186:256.041
HOLD      posedge:C[12]      posedge:CLK  97.0532:169.689:261.438
HOLD      posedge:C[13]      posedge:CLK  94.8544:165.845:255.515
HOLD      posedge:C[14]      posedge:CLK  93.6616:163.759:252.302
HOLD      posedge:C[15]      posedge:CLK  95.674:167.278:257.723
HOLD      posedge:CHOLD      posedge:CLK  90.7543:158.676:244.47
HOLD      posedge:D[0]       posedge:CLK  73.7198:128.893:198.583
HOLD      posedge:D[1]       posedge:CLK  70.7638:123.724:190.621
HOLD      posedge:D[2]       posedge:CLK  68.2553:119.338:183.863
HOLD      posedge:D[3]       posedge:CLK  67.0959:117.311:180.74
HOLD      posedge:D[4]       posedge:CLK  66.5605:116.375:179.298
HOLD      posedge:D[5]       posedge:CLK  70.8135:123.811:190.754
HOLD      posedge:D[6]       posedge:CLK  72.5363:126.823:195.395
HOLD      posedge:D[7]       posedge:CLK  69.069:120.761:186.055
HOLD      posedge:D[8]       posedge:CLK  102.435:179.099:275.935
HOLD      posedge:D[9]       posedge:CLK  67.0453:117.223:180.604
HOLD      posedge:D[10]      posedge:CLK  103.325:180.656:278.334
HOLD      posedge:D[11]      posedge:CLK  102.772:179.688:276.843
HOLD      posedge:D[12]      posedge:CLK  101.446:177.369:273.271
HOLD      posedge:D[13]      posedge:CLK  99.3218:173.655:267.549
HOLD      posedge:D[14]      posedge:CLK  69.0857:120.79:186.1
HOLD      posedge:D[15]      posedge:CLK  103.59:181.119:279.048
HOLD      posedge:DHOLD      posedge:CLK  96.4425:168.621:259.793
HOLD      posedge:OHOLDBOT   posedge:CLK  92.3813:161.521:248.853
HOLD      posedge:OHOLDTOP   posedge:CLK  91.8862:160.655:247.519
HOLD      posedge:OLOADBOT   posedge:CLK  84.2092:147.232:226.839
HOLD      posedge:OLOADTOP   posedge:CLK  81.0836:141.768:218.42
RECOVERY  negedge:IRSTBOT    posedge:CLK  392.14:685.622:1056.33
RECOVERY  negedge:IRSTTOP    posedge:CLK  417.485:729.935:1124.6
RECOVERY  negedge:ORSTBOT    posedge:CLK  302.84:529.489:815.777
RECOVERY  negedge:ORSTTOP    posedge:CLK  348.814:609.871:939.622
RECOVERY  posedge:IRSTBOT    posedge:CLK  0:0:0
RECOVERY  posedge:IRSTTOP    posedge:CLK  0:0:0
RECOVERY  posedge:ORSTBOT    posedge:CLK  0:0:0
RECOVERY  posedge:ORSTTOP    posedge:CLK  0:0:0
REMOVAL   negedge:IRSTBOT    posedge:CLK  0:0:0
REMOVAL   negedge:IRSTTOP    posedge:CLK  0:0:0
REMOVAL   negedge:ORSTBOT    posedge:CLK  0:0:0
REMOVAL   negedge:ORSTTOP    posedge:CLK  0:0:0
REMOVAL   posedge:IRSTBOT    posedge:CLK  0:0:0
REMOVAL   posedge:IRSTTOP    posedge:CLK  0:0:0
REMOVAL   posedge:ORSTBOT    posedge:CLK  0:0:0
REMOVAL   posedge:ORSTTOP    posedge:CLK  0:0:0
SETUP     negedge:A[0]       posedge:CLK  -38.0915:-66.5997:-102.609
SETUP     negedge:A[1]       posedge:CLK  -35.3421:-61.7925:-95.2031
SETUP     negedge:A[2]       posedge:CLK  -36.3771:-63.6021:-97.991
SETUP     negedge:A[3]       posedge:CLK  -31.7809:-55.5661:-85.61
SETUP     negedge:A[4]       posedge:CLK  -33.0592:-57.8012:-89.0536
SETUP     negedge:A[5]       posedge:CLK  -24.3846:-42.6344:-65.6863
SETUP     negedge:A[6]       posedge:CLK  -37.1962:-65.0343:-100.198
SETUP     negedge:A[7]       posedge:CLK  -29.4636:-51.5146:-79.3679
SETUP     negedge:A[8]       posedge:CLK  -23.3442:-40.8153:-62.8837
SETUP     negedge:A[9]       posedge:CLK  -7.81812:-13.6693:-21.0601
SETUP     negedge:A[10]      posedge:CLK  -20.4556:-35.7649:-55.1026
SETUP     negedge:A[11]      posedge:CLK  -20.6528:-36.1096:-55.6337
SETUP     negedge:A[12]      posedge:CLK  -18.7825:-32.8395:-50.5955
SETUP     negedge:A[13]      posedge:CLK  -19.3445:-33.8221:-52.1093
SETUP     negedge:A[14]      posedge:CLK  -11.0696:-19.3542:-29.8187
SETUP     negedge:A[15]      posedge:CLK  -20.8421:-36.4406:-56.1436
SETUP     negedge:ADDSUBBOT  posedge:CLK  674.109:1178.62:1815.89
SETUP     negedge:ADDSUBTOP  posedge:CLK  676.751:1183.24:1823
SETUP     negedge:AHOLD      posedge:CLK  140.197:245.122:377.657
SETUP     negedge:B[0]       posedge:CLK  -26.7973:-46.8527:-72.1854
SETUP     negedge:B[1]       posedge:CLK  -26.5755:-46.465:-71.588
SETUP     negedge:B[2]       posedge:CLK  -26.8351:-46.9189:-72.2874
SETUP     negedge:B[3]       posedge:CLK  -31.2808:-54.6918:-84.2631
SETUP     negedge:B[4]       posedge:CLK  -20.525:-35.8861:-55.2893
SETUP     negedge:B[5]       posedge:CLK  -23.0684:-40.3331:-62.1407
SETUP     negedge:B[6]       posedge:CLK  -21.2841:-37.2134:-57.3343
SETUP     negedge:B[7]       posedge:CLK  -21.3225:-37.2805:-57.4376
SETUP     negedge:B[8]       posedge:CLK  -24.4284:-42.7109:-65.8042
SETUP     negedge:B[9]       posedge:CLK  -28.408:-49.6689:-76.5243
SETUP     negedge:B[10]      posedge:CLK  -9.44262:-16.5096:-25.4361
SETUP     negedge:B[11]      posedge:CLK  -20.5353:-35.9042:-55.3171
SETUP     negedge:B[12]      posedge:CLK  -21.4931:-37.5788:-57.8972
SETUP     negedge:B[13]      posedge:CLK  -6.6853:-11.6887:-18.0086
SETUP     negedge:B[14]      posedge:CLK  -10.8006:-18.8839:-29.0943
SETUP     negedge:B[15]      posedge:CLK  -13.8633:-24.2387:-37.3443
SETUP     negedge:BHOLD      posedge:CLK  130.019:227.327:350.24
SETUP     negedge:C[0]       posedge:CLK  -32.0021:-55.9529:-86.206
SETUP     negedge:C[1]       posedge:CLK  -33.1822:-58.0161:-89.3847
SETUP     negedge:C[2]       posedge:CLK  -38.8217:-67.8763:-104.576
SETUP     negedge:C[3]       posedge:CLK  -36.8073:-64.3543:-99.1499
SETUP     negedge:C[4]       posedge:CLK  -31.1963:-54.544:-84.0353
SETUP     negedge:C[5]       posedge:CLK  -30.7597:-53.7806:-82.8591
SETUP     negedge:C[6]       posedge:CLK  -34.7855:-60.8194:-93.7038
SETUP     negedge:C[7]       posedge:CLK  -30.8737:-53.98:-83.1664
SETUP     negedge:C[8]       posedge:CLK  -31.5645:-55.1878:-85.0273
SETUP     negedge:C[9]       posedge:CLK  -34.2737:-59.9245:-92.325
SETUP     negedge:C[10]      posedge:CLK  -31.9417:-55.8472:-86.0431
SETUP     negedge:C[11]      posedge:CLK  -31.4224:-54.9394:-84.6445
SETUP     negedge:C[12]      posedge:CLK  -34.9605:-61.1255:-94.1753
SETUP     negedge:C[13]      posedge:CLK  -34.6709:-60.6191:-93.3952
SETUP     negedge:C[14]      posedge:CLK  -33.6709:-58.8706:-90.7012
SETUP     negedge:C[15]      posedge:CLK  -42.5692:-74.4285:-114.671
SETUP     negedge:CHOLD      posedge:CLK  96.6549:168.993:260.365
SETUP     negedge:D[0]       posedge:CLK  -23.8202:-41.6475:-64.1658
SETUP     negedge:D[1]       posedge:CLK  -22.475:-39.2955:-60.5421
SETUP     negedge:D[2]       posedge:CLK  -19.3685:-33.8642:-52.1742
SETUP     negedge:D[3]       posedge:CLK  -23.4013:-40.9151:-63.0374
SETUP     negedge:D[4]       posedge:CLK  -13.3534:-23.3472:-35.9708
SETUP     negedge:D[5]       posedge:CLK  -19.9374:-34.8588:-53.7066
SETUP     negedge:D[6]       posedge:CLK  -15.8963:-27.7934:-42.8209
SETUP     negedge:D[7]       posedge:CLK  -21.8372:-38.1805:-58.8243
SETUP     negedge:D[8]       posedge:CLK  -44.7159:-78.1818:-120.454
SETUP     negedge:D[9]       posedge:CLK  -14.6229:-25.5669:-39.3906
SETUP     negedge:D[10]      posedge:CLK  -45.6397:-79.7971:-122.942
SETUP     negedge:D[11]      posedge:CLK  -46.5478:-81.3848:-125.389
SETUP     negedge:D[12]      posedge:CLK  -45.9701:-80.3747:-123.832
SETUP     negedge:D[13]      posedge:CLK  -44.4627:-77.7391:-119.772
SETUP     negedge:D[14]      posedge:CLK  -15.2463:-26.6569:-41.07
SETUP     negedge:D[15]      posedge:CLK  -42.8785:-74.9693:-115.504
SETUP     negedge:DHOLD      posedge:CLK  118.176:206.62:318.338
SETUP     negedge:OHOLDBOT   posedge:CLK  160.151:280.01:431.408
SETUP     negedge:OHOLDTOP   posedge:CLK  108.807:190.239:293.099
SETUP     negedge:OLOADBOT   posedge:CLK  168.975:295.439:455.179
SETUP     negedge:OLOADTOP   posedge:CLK  136.836:239.246:368.603
SETUP     posedge:A[0]       posedge:CLK  -25.8188:-45.142:-69.5497
SETUP     posedge:A[1]       posedge:CLK  -21.4842:-37.5633:-57.8733
SETUP     posedge:A[2]       posedge:CLK  -27.8263:-48.6519:-74.9575
SETUP     posedge:A[3]       posedge:CLK  -15.2119:-26.5967:-40.9773
SETUP     posedge:A[4]       posedge:CLK  -17.8208:-31.158:-48.0048
SETUP     posedge:A[5]       posedge:CLK  -12.7796:-22.344:-34.4252
SETUP     posedge:A[6]       posedge:CLK  -27.0839:-47.3538:-72.9575
SETUP     posedge:A[7]       posedge:CLK  -18.7776:-32.8309:-50.5822
SETUP     posedge:A[8]       posedge:CLK  -19.8838:-34.7651:-53.5622
SETUP     posedge:A[9]       posedge:CLK  5.23535:9.15356:14.1028
SETUP     posedge:A[10]      posedge:CLK  -10.9398:-19.1272:-29.4691
SETUP     posedge:A[11]      posedge:CLK  -14.8432:-25.952:-39.9839
SETUP     posedge:A[12]      posedge:CLK  -9.94953:-17.3959:-26.8016
SETUP     posedge:A[13]      posedge:CLK  -13.6042:-23.7857:-36.6463
SETUP     posedge:A[14]      posedge:CLK  -3.18114:-5.56194:-8.56922
SETUP     posedge:A[15]      posedge:CLK  -11.4329:-19.9895:-30.7975
SETUP     posedge:ADDSUBBOT  posedge:CLK  694.808:1214.81:1871.65
SETUP     posedge:ADDSUBTOP  posedge:CLK  695.378:1215.81:1873.18
SETUP     posedge:AHOLD      posedge:CLK  112.255:196.268:302.387
SETUP     posedge:B[0]       posedge:CLK  -18.075:-31.6025:-48.6896
SETUP     posedge:B[1]       posedge:CLK  -20.1946:-35.3084:-54.3993
SETUP     posedge:B[2]       posedge:CLK  -19.0839:-33.3665:-51.4073
SETUP     posedge:B[3]       posedge:CLK  -23.8044:-41.62:-64.1234
SETUP     posedge:B[4]       posedge:CLK  -10.3655:-18.1232:-27.9221
SETUP     posedge:B[5]       posedge:CLK  -12.6036:-22.0363:-33.951
SETUP     posedge:B[6]       posedge:CLK  -9.62109:-16.8216:-25.9169
SETUP     posedge:B[7]       posedge:CLK  -11.7245:-20.4992:-31.5829
SETUP     posedge:B[8]       posedge:CLK  -18.3763:-32.1294:-49.5015
SETUP     posedge:B[9]       posedge:CLK  -21.528:-37.6398:-57.9912
SETUP     posedge:B[10]      posedge:CLK  0.92189:1.61184:2.48335
SETUP     posedge:B[11]      posedge:CLK  -10.1437:-17.7355:-27.3248
SETUP     posedge:B[12]      posedge:CLK  -8.14017:-14.2324:-21.9277
SETUP     posedge:B[13]      posedge:CLK  2.41314:4.21916:6.50042
SETUP     posedge:B[14]      posedge:CLK  -3.28586:-5.74504:-8.85132
SETUP     posedge:B[15]      posedge:CLK  -5.1262:-8.96272:-13.8088
SETUP     posedge:BHOLD      posedge:CLK  108.711:190.072:292.842
SETUP     posedge:C[0]       posedge:CLK  -25.8862:-45.2597:-69.7312
SETUP     posedge:C[1]       posedge:CLK  -23.8275:-41.6604:-64.1857
SETUP     posedge:C[2]       posedge:CLK  -27.8588:-48.7087:-75.0449
SETUP     posedge:C[3]       posedge:CLK  -24.1462:-42.2174:-65.0439
SETUP     posedge:C[4]       posedge:CLK  -20.8475:-36.45:-56.1582
SETUP     posedge:C[5]       posedge:CLK  -23.6422:-41.3363:-63.6864
SETUP     posedge:C[6]       posedge:CLK  -30.5153:-53.3534:-82.2009
SETUP     posedge:C[7]       posedge:CLK  -22.0467:-38.5467:-59.3885
SETUP     posedge:C[8]       posedge:CLK  -25.5445:-44.6623:-68.8107
SETUP     posedge:C[9]       posedge:CLK  -26.9389:-47.1003:-72.5668
SETUP     posedge:C[10]      posedge:CLK  -22.6008:-39.5156:-60.8812
SETUP     posedge:C[11]      posedge:CLK  -21.5978:-37.7619:-58.1793
SETUP     posedge:C[12]      posedge:CLK  -28.1626:-49.2399:-75.8634
SETUP     posedge:C[13]      posedge:CLK  -23.9687:-41.9071:-64.5658
SETUP     posedge:C[14]      posedge:CLK  -26.9585:-47.1346:-72.6198
SETUP     posedge:C[15]      posedge:CLK  -35.9149:-62.794:-96.746
SETUP     posedge:CHOLD      posedge:CLK  75.0689:131.251:202.218
SETUP     posedge:D[0]       posedge:CLK  -15.445:-27.0042:-41.6051
SETUP     posedge:D[1]       posedge:CLK  -11.1772:-19.5424:-30.1088
SETUP     posedge:D[2]       posedge:CLK  -5.66311:-9.90145:-15.2551
SETUP     posedge:D[3]       posedge:CLK  -15.0821:-26.3698:-40.6276
SETUP     posedge:D[4]       posedge:CLK  -7.67259:-13.4148:-20.6681
SETUP     posedge:D[5]       posedge:CLK  -12.6646:-22.1429:-34.1153
SETUP     posedge:D[6]       posedge:CLK  -4.97968:-8.70654:-13.4141
SETUP     posedge:D[7]       posedge:CLK  -14.8422:-25.9503:-39.9813
SETUP     posedge:D[8]       posedge:CLK  -40.6576:-71.0862:-109.522
SETUP     posedge:D[9]       posedge:CLK  -5.58739:-9.76907:-15.0511
SETUP     posedge:D[10]      posedge:CLK  -36.4238:-63.6838:-98.1169
SETUP     posedge:D[11]      posedge:CLK  -42.2919:-73.9437:-113.924
SETUP     posedge:D[12]      posedge:CLK  -38.0984:-66.6117:-102.628
SETUP     posedge:D[13]      posedge:CLK  -30.5183:-53.3585:-82.2088
SETUP     posedge:D[14]      posedge:CLK  -7.14846:-12.4985:-19.2562
SETUP     posedge:D[15]      posedge:CLK  -33.6458:-58.8267:-90.6337
SETUP     posedge:DHOLD      posedge:CLK  95.2723:166.575:256.641
SETUP     posedge:OHOLDBOT   posedge:CLK  128.728:225.07:346.763
SETUP     posedge:OHOLDTOP   posedge:CLK  78.8499:137.862:212.403
SETUP     posedge:OLOADBOT   posedge:CLK  163.125:285.211:439.421
SETUP     posedge:OLOADTOP   posedge:CLK  145.594:254.558:392.195
IOPATH    ADDSUBTOP          ACCUMCO      787.543:1376.95:2121.45     929.935:1625.91:2505.02
IOPATH    ADDSUBTOP          CO           226.038:395.208:608.892     220.948:386.308:595.18
IOPATH    posedge:CLK        ACCUMCO      1164.12:2035.36:3135.86     1305.33:2282.25:3516.23
IOPATH    posedge:CLK        CO           1445.56:2527.43:3893.98     1573.77:2751.61:4239.37
IOPATH    posedge:CLK        O[0]         484.416:846.959:1304.9      503.908:881.039:1357.41
IOPATH    posedge:CLK        O[1]         480.851:840.726:1295.3      488.366:853.864:1315.54
IOPATH    posedge:CLK        O[2]         494.478:864.552:1332        511.702:894.667:1378.4
IOPATH    posedge:CLK        O[3]         533.81:933.32:1437.95       552.823:966.562:1489.17
IOPATH    posedge:CLK        O[4]         495.289:865.969:1334.19     516.326:902.751:1390.86
IOPATH    posedge:CLK        O[5]         505.429:883.699:1361.5      531.232:928.813:1431.01
IOPATH    posedge:CLK        O[6]         565.569:988.849:1523.51     599.667:1048.47:1615.36
IOPATH    posedge:CLK        O[7]         548.402:958.833:1477.26     583.72:1020.58:1572.4
IOPATH    posedge:CLK        O[8]         582.967:1019.27:1570.37     630.997:1103.24:1699.75
IOPATH    posedge:CLK        O[9]         584.23:1021.47:1573.77      644.231:1126.38:1735.4
IOPATH    posedge:CLK        O[10]        562.29:983.114:1514.67      601.295:1051.31:1619.74
IOPATH    posedge:CLK        O[11]        650.295:1136.98:1751.74     694.728:1214.67:1871.43
IOPATH    posedge:CLK        O[12]        562.067:982.725:1514.07     595.268:1040.77:1603.51
IOPATH    posedge:CLK        O[13]        577.028:1008.88:1554.37     623.397:1089.96:1679.28
IOPATH    posedge:CLK        O[14]        621.836:1087.23:1675.08     672.218:1175.32:1810.79
IOPATH    posedge:CLK        O[15]        628.433:1098.76:1692.85     676.544:1182.88:1822.45
IOPATH    posedge:CLK        O[16]        617.061:1078.88:1662.21     698.456:1221.19:1881.47
IOPATH    posedge:CLK        O[17]        614.371:1074.17:1654.97     684.719:1197.17:1844.47
IOPATH    posedge:CLK        O[18]        634.244:1108.92:1708.5      727.072:1271.22:1958.56
IOPATH    posedge:CLK        O[19]        679.618:1188.25:1830.73     736.514:1287.73:1983.99
IOPATH    posedge:CLK        O[20]        636.406:1112.7:1714.32      683.383:1194.84:1840.87
IOPATH    posedge:CLK        O[21]        575.928:1006.96:1551.41     615.075:1075.4:1656.86
IOPATH    posedge:CLK        O[22]        587.801:1027.72:1583.39     645.037:1127.79:1737.57
IOPATH    posedge:CLK        O[23]        584.933:1022.7:1575.67      632.227:1105.39:1703.07
IOPATH    posedge:CLK        O[24]        570.471:997.418:1536.71     611.06:1068.39:1646.05
IOPATH    posedge:CLK        O[25]        583.366:1019.96:1571.45     631.082:1103.39:1699.98
IOPATH    posedge:CLK        O[26]        609.5:1065.66:1641.85       673.926:1178.3:1815.39
IOPATH    posedge:CLK        O[27]        566.18:989.917:1525.15      603.521:1055.2:1625.74
IOPATH    posedge:CLK        O[28]        556.046:972.197:1497.85     592.441:1035.83:1595.89
IOPATH    posedge:CLK        O[29]        554.749:969.929:1494.36     588.854:1029.56:1586.23
IOPATH    posedge:CLK        O[30]        538.732:941.926:1451.21     561.968:982.551:1513.81
IOPATH    posedge:CLK        O[31]        529.07:925.033:1425.19      550.159:961.905:1482
IOPATH    posedge:CLK        SIGNEXTOUT   718.342:1255.96:1935.04     697.215:1219.02:1878.13

CELL SB_MAC16_MAC_U_8X8_BYPASS
HOLD      negedge:A[0]       posedge:CLK  110.748:193.633:298.328
HOLD      negedge:A[1]       posedge:CLK  109.024:190.62:293.686
HOLD      negedge:A[2]       posedge:CLK  106.197:185.676:286.069
HOLD      negedge:A[3]       posedge:CLK  106.3:185.856:286.347
HOLD      negedge:A[4]       posedge:CLK  107.158:187.357:288.658
HOLD      negedge:A[5]       posedge:CLK  90.4067:158.068:243.534
HOLD      negedge:A[6]       posedge:CLK  108.701:190.055:292.816
HOLD      negedge:A[7]       posedge:CLK  104.622:182.922:281.825
HOLD      negedge:A[8]       posedge:CLK  88.5624:154.844:238.566
HOLD      negedge:A[9]       posedge:CLK  82.9013:144.946:223.316
HOLD      negedge:A[10]      posedge:CLK  87.3323:152.693:235.252
HOLD      negedge:A[11]      posedge:CLK  85.0878:148.769:229.206
HOLD      negedge:A[12]      posedge:CLK  84.4245:147.609:227.419
HOLD      negedge:A[13]      posedge:CLK  84.4668:147.683:227.533
HOLD      negedge:A[14]      posedge:CLK  82.0173:143.4:220.935
HOLD      negedge:A[15]      posedge:CLK  89.7533:156.926:241.774
HOLD      negedge:ADDSUBBOT  posedge:CLK  33.9157:59.2987:91.3608
HOLD      negedge:ADDSUBTOP  posedge:CLK  31.6653:55.3641:85.2988
HOLD      negedge:AHOLD      posedge:CLK  99.8238:174.533:268.901
HOLD      negedge:B[0]       posedge:CLK  97.9997:171.344:263.987
HOLD      negedge:B[1]       posedge:CLK  100.797:176.234:271.522
HOLD      negedge:B[2]       posedge:CLK  95.8668:167.615:258.242
HOLD      negedge:B[3]       posedge:CLK  98.2086:171.709:264.55
HOLD      negedge:B[4]       posedge:CLK  99.1261:173.313:267.022
HOLD      negedge:B[5]       posedge:CLK  92.5696:161.85:249.36
HOLD      negedge:B[6]       posedge:CLK  90.3049:157.89:243.26
HOLD      negedge:B[7]       posedge:CLK  90.4092:158.073:243.541
HOLD      negedge:B[8]       posedge:CLK  89.4381:156.375:240.925
HOLD      negedge:B[9]       posedge:CLK  95.4897:166.955:257.226
HOLD      negedge:B[10]      posedge:CLK  82.0453:143.449:221.01
HOLD      negedge:B[11]      posedge:CLK  87.8741:153.64:236.712
HOLD      negedge:B[12]      posedge:CLK  92.8081:162.267:250.003
HOLD      negedge:B[13]      posedge:CLK  87.9365:153.749:236.88
HOLD      negedge:B[14]      posedge:CLK  83.4648:145.931:224.834
HOLD      negedge:B[15]      posedge:CLK  96.707:169.084:260.505
HOLD      negedge:BHOLD      posedge:CLK  87.0968:152.281:234.618
HOLD      negedge:C[0]       posedge:CLK  117.028:204.613:315.245
HOLD      negedge:C[1]       posedge:CLK  118.587:207.339:319.445
HOLD      negedge:C[2]       posedge:CLK  116.275:203.296:313.216
HOLD      negedge:C[3]       posedge:CLK  114.747:200.625:309.101
HOLD      negedge:C[4]       posedge:CLK  115.462:201.875:311.027
HOLD      negedge:C[5]       posedge:CLK  116.556:203.788:313.974
HOLD      negedge:C[6]       posedge:CLK  115.685:202.265:311.628
HOLD      negedge:C[7]       posedge:CLK  118.049:206.399:317.996
HOLD      negedge:C[8]       posedge:CLK  116.568:203.809:314.005
HOLD      negedge:C[9]       posedge:CLK  115.634:202.176:311.49
HOLD      negedge:C[10]      posedge:CLK  114.576:200.327:308.641
HOLD      negedge:C[11]      posedge:CLK  116.01:202.834:312.504
HOLD      negedge:C[12]      posedge:CLK  117.593:205.602:316.768
HOLD      negedge:C[13]      posedge:CLK  116.004:202.823:312.488
HOLD      negedge:C[14]      posedge:CLK  115.659:202.22:311.558
HOLD      negedge:C[15]      posedge:CLK  115.814:202.49:311.974
HOLD      negedge:CHOLD      posedge:CLK  105.921:185.194:285.326
HOLD      negedge:D[0]       posedge:CLK  93.8499:164.088:252.809
HOLD      negedge:D[1]       posedge:CLK  91.1157:159.308:245.444
HOLD      negedge:D[2]       posedge:CLK  90.5296:158.283:243.865
HOLD      negedge:D[3]       posedge:CLK  88.619:154.943:238.718
HOLD      negedge:D[4]       posedge:CLK  87.0284:152.162:234.434
HOLD      negedge:D[5]       posedge:CLK  91.8198:160.539:247.34
HOLD      negedge:D[6]       posedge:CLK  91.8916:160.664:247.534
HOLD      negedge:D[7]       posedge:CLK  89.1097:155.8:240.04
HOLD      negedge:D[8]       posedge:CLK  124.891:218.361:336.426
HOLD      negedge:D[9]       posedge:CLK  85.2382:149.032:229.611
HOLD      negedge:D[10]      posedge:CLK  127.421:222.785:343.243
HOLD      negedge:D[11]      posedge:CLK  125.995:220.291:339.401
HOLD      negedge:D[12]      posedge:CLK  124.859:218.305:336.34
HOLD      negedge:D[13]      posedge:CLK  122.833:214.763:330.883
HOLD      negedge:D[14]      posedge:CLK  89.7017:156.836:241.635
HOLD      negedge:D[15]      posedge:CLK  127.656:223.195:343.873
HOLD      negedge:DHOLD      posedge:CLK  115.052:201.159:309.923
HOLD      negedge:OHOLDBOT   posedge:CLK  77.3041:135.159:208.239
HOLD      negedge:OHOLDTOP   posedge:CLK  77.3316:135.208:208.313
HOLD      negedge:OLOADBOT   posedge:CLK  95.2856:166.599:256.676
HOLD      negedge:OLOADTOP   posedge:CLK  87.8451:153.589:236.634
HOLD      posedge:A[0]       posedge:CLK  85.7097:149.856:230.881
HOLD      posedge:A[1]       posedge:CLK  84.2347:147.277:226.908
HOLD      posedge:A[2]       posedge:CLK  80.3687:140.518:216.494
HOLD      posedge:A[3]       posedge:CLK  80.0702:139.996:215.69
HOLD      posedge:A[4]       posedge:CLK  81.8516:143.11:220.488
HOLD      posedge:A[5]       posedge:CLK  69.0115:120.661:185.9
HOLD      posedge:A[6]       posedge:CLK  82.5748:144.375:222.437
HOLD      posedge:A[7]       posedge:CLK  79.1198:138.334:213.13
HOLD      posedge:A[8]       posedge:CLK  67.9357:118.78:183.002
HOLD      posedge:A[9]       posedge:CLK  63.8627:111.658:172.031
HOLD      posedge:A[10]      posedge:CLK  67.2537:117.587:181.165
HOLD      posedge:A[11]      posedge:CLK  65.436:114.409:176.269
HOLD      posedge:A[12]      posedge:CLK  65.4616:114.454:176.338
HOLD      posedge:A[13]      posedge:CLK  64.7713:113.247:174.478
HOLD      posedge:A[14]      posedge:CLK  61.6364:107.766:166.034
HOLD      posedge:A[15]      posedge:CLK  68.3521:119.508:184.124
HOLD      posedge:ADDSUBBOT  posedge:CLK  28.5314:49.8847:76.8567
HOLD      posedge:ADDSUBTOP  posedge:CLK  25.5533:44.6778:68.8345
HOLD      posedge:AHOLD      posedge:CLK  80.3569:140.497:216.462
HOLD      posedge:B[0]       posedge:CLK  78.3081:136.915:210.943
HOLD      posedge:B[1]       posedge:CLK  79.4822:138.968:214.106
HOLD      posedge:B[2]       posedge:CLK  75.7681:132.474:204.101
HOLD      posedge:B[3]       posedge:CLK  77.8159:136.054:209.617
HOLD      posedge:B[4]       posedge:CLK  79.2472:138.557:213.473
HOLD      posedge:B[5]       posedge:CLK  71.6174:125.217:192.92
HOLD      posedge:B[6]       posedge:CLK  69.5818:121.658:187.437
HOLD      posedge:B[7]       posedge:CLK  69.9963:122.382:188.553
HOLD      posedge:B[8]       posedge:CLK  68.4549:119.687:184.401
HOLD      posedge:B[9]       posedge:CLK  74.2945:129.897:200.132
HOLD      posedge:B[10]      posedge:CLK  63.8243:111.591:171.927
HOLD      posedge:B[11]      posedge:CLK  66.9735:117.097:180.41
HOLD      posedge:B[12]      posedge:CLK  71.9478:125.794:193.81
HOLD      posedge:B[13]      posedge:CLK  67.62:118.228:182.152
HOLD      posedge:B[14]      posedge:CLK  63.871:111.673:172.053
HOLD      posedge:B[15]      posedge:CLK  75.6648:132.293:203.823
HOLD      posedge:BHOLD      posedge:CLK  72.0648:125.999:194.125
HOLD      posedge:C[0]       posedge:CLK  96.5222:168.761:260.007
HOLD      posedge:C[1]       posedge:CLK  98.1752:171.651:264.46
HOLD      posedge:C[2]       posedge:CLK  95.6401:167.218:257.631
HOLD      posedge:C[3]       posedge:CLK  95.1755:166.406:256.38
HOLD      posedge:C[4]       posedge:CLK  95.292:166.61:256.694
HOLD      posedge:C[5]       posedge:CLK  96.5187:168.755:259.998
HOLD      posedge:C[6]       posedge:CLK  93.3258:163.172:251.397
HOLD      posedge:C[7]       posedge:CLK  97.2051:169.955:261.847
HOLD      posedge:C[8]       posedge:CLK  95.3171:166.654:256.761
HOLD      posedge:C[9]       posedge:CLK  93.9104:164.194:252.972
HOLD      posedge:C[10]      posedge:CLK  92.6011:161.905:249.445
HOLD      posedge:C[11]      posedge:CLK  95.0496:166.186:256.041
HOLD      posedge:C[12]      posedge:CLK  97.0532:169.689:261.438
HOLD      posedge:C[13]      posedge:CLK  94.8544:165.845:255.515
HOLD      posedge:C[14]      posedge:CLK  93.6616:163.759:252.302
HOLD      posedge:C[15]      posedge:CLK  95.674:167.278:257.723
HOLD      posedge:CHOLD      posedge:CLK  90.7543:158.676:244.47
HOLD      posedge:D[0]       posedge:CLK  73.7198:128.893:198.583
HOLD      posedge:D[1]       posedge:CLK  70.7638:123.724:190.621
HOLD      posedge:D[2]       posedge:CLK  68.2553:119.338:183.863
HOLD      posedge:D[3]       posedge:CLK  67.0959:117.311:180.74
HOLD      posedge:D[4]       posedge:CLK  66.5605:116.375:179.298
HOLD      posedge:D[5]       posedge:CLK  70.8135:123.811:190.754
HOLD      posedge:D[6]       posedge:CLK  72.5363:126.823:195.395
HOLD      posedge:D[7]       posedge:CLK  69.069:120.761:186.055
HOLD      posedge:D[8]       posedge:CLK  102.435:179.099:275.935
HOLD      posedge:D[9]       posedge:CLK  67.0453:117.223:180.604
HOLD      posedge:D[10]      posedge:CLK  103.325:180.656:278.334
HOLD      posedge:D[11]      posedge:CLK  102.772:179.688:276.843
HOLD      posedge:D[12]      posedge:CLK  101.446:177.369:273.271
HOLD      posedge:D[13]      posedge:CLK  99.3041:173.624:267.501
HOLD      posedge:D[14]      posedge:CLK  69.0857:120.79:186.1
HOLD      posedge:D[15]      posedge:CLK  103.59:181.119:279.048
HOLD      posedge:DHOLD      posedge:CLK  96.4425:168.621:259.793
HOLD      posedge:OHOLDBOT   posedge:CLK  92.3813:161.521:248.853
HOLD      posedge:OHOLDTOP   posedge:CLK  91.8862:160.655:247.519
HOLD      posedge:OLOADBOT   posedge:CLK  84.1688:147.162:226.731
HOLD      posedge:OLOADTOP   posedge:CLK  80.3672:140.515:216.49
RECOVERY  negedge:IRSTBOT    posedge:CLK  392.14:685.622:1056.33
RECOVERY  negedge:IRSTTOP    posedge:CLK  417.363:729.722:1124.27
RECOVERY  negedge:ORSTBOT    posedge:CLK  302.84:529.489:815.777
RECOVERY  negedge:ORSTTOP    posedge:CLK  348.814:609.871:939.622
RECOVERY  posedge:IRSTBOT    posedge:CLK  0:0:0
RECOVERY  posedge:IRSTTOP    posedge:CLK  0:0:0
RECOVERY  posedge:ORSTBOT    posedge:CLK  0:0:0
RECOVERY  posedge:ORSTTOP    posedge:CLK  0:0:0
REMOVAL   negedge:IRSTBOT    posedge:CLK  0:0:0
REMOVAL   negedge:IRSTTOP    posedge:CLK  0:0:0
REMOVAL   negedge:ORSTBOT    posedge:CLK  0:0:0
REMOVAL   negedge:ORSTTOP    posedge:CLK  0:0:0
REMOVAL   posedge:IRSTBOT    posedge:CLK  0:0:0
REMOVAL   posedge:IRSTTOP    posedge:CLK  0:0:0
REMOVAL   posedge:ORSTBOT    posedge:CLK  0:0:0
REMOVAL   posedge:ORSTTOP    posedge:CLK  0:0:0
SETUP     negedge:A[0]       posedge:CLK  1288.52:2252.86:3470.95
SETUP     negedge:A[1]       posedge:CLK  1355.9:2370.68:3652.48
SETUP     negedge:A[2]       posedge:CLK  1360.54:2378.79:3664.97
SETUP     negedge:A[3]       posedge:CLK  1376.29:2406.33:3707.41
SETUP     negedge:A[4]       posedge:CLK  1426.01:2493.26:3841.33
SETUP     negedge:A[5]       posedge:CLK  1469.53:2569.34:3958.56
SETUP     negedge:A[6]       posedge:CLK  1426.08:2493.38:3841.52
SETUP     negedge:A[7]       posedge:CLK  1440.24:2518.14:3879.67
SETUP     negedge:A[8]       posedge:CLK  1268.2:2217.33:3416.21
SETUP     negedge:A[9]       posedge:CLK  1356.86:2372.36:3655.06
SETUP     negedge:A[10]      posedge:CLK  1323.33:2313.73:3564.73
SETUP     negedge:A[11]      posedge:CLK  1325.9:2318.22:3571.66
SETUP     negedge:A[12]      posedge:CLK  1360.65:2378.97:3665.25
SETUP     negedge:A[13]      posedge:CLK  1373.3:2401.1:3699.34
SETUP     negedge:A[14]      posedge:CLK  1382.93:2417.94:3725.29
SETUP     negedge:A[15]      posedge:CLK  1389.51:2429.44:3743.02
SETUP     negedge:ADDSUBBOT  posedge:CLK  674.103:1178.61:1815.87
SETUP     negedge:ADDSUBTOP  posedge:CLK  676.675:1183.11:1822.8
SETUP     negedge:AHOLD      posedge:CLK  140.197:245.122:377.657
SETUP     negedge:B[0]       posedge:CLK  1431.25:2502.41:3855.44
SETUP     negedge:B[1]       posedge:CLK  1499.7:2622.09:4039.83
SETUP     negedge:B[2]       posedge:CLK  1463.11:2558.13:3941.28
SETUP     negedge:B[3]       posedge:CLK  1509.56:2639.34:4066.4
SETUP     negedge:B[4]       posedge:CLK  1420.96:2484.43:3827.73
SETUP     negedge:B[5]       posedge:CLK  1476.69:2581.87:3977.86
SETUP     negedge:B[6]       posedge:CLK  1302.99:2278.16:3509.94
SETUP     negedge:B[7]       posedge:CLK  1352.14:2364.09:3642.33
SETUP     negedge:B[8]       posedge:CLK  1397.1:2442.71:3763.45
SETUP     negedge:B[9]       posedge:CLK  1508.55:2637.57:4063.68
SETUP     negedge:B[10]      posedge:CLK  1448.22:2532.08:3901.15
SETUP     negedge:B[11]      posedge:CLK  1528.93:2673.2:4118.56
SETUP     negedge:B[12]      posedge:CLK  1438.09:2514.37:3873.86
SETUP     negedge:B[13]      posedge:CLK  1460.05:2552.76:3933.01
SETUP     negedge:B[14]      posedge:CLK  1266.11:2213.69:3410.6
SETUP     negedge:B[15]      posedge:CLK  1340.33:2343.44:3610.51
SETUP     negedge:BHOLD      posedge:CLK  130.019:227.327:350.24
SETUP     negedge:C[0]       posedge:CLK  84.4496:147.653:227.487
SETUP     negedge:C[1]       posedge:CLK  80.648:141.006:217.246
SETUP     negedge:C[2]       posedge:CLK  74.1524:129.649:199.749
SETUP     negedge:C[3]       posedge:CLK  68.2779:119.378:183.924
SETUP     negedge:C[4]       posedge:CLK  73.5305:128.562:198.073
SETUP     negedge:C[5]       posedge:CLK  64.6543:113.042:174.163
SETUP     negedge:C[6]       posedge:CLK  80.525:140.791:216.915
SETUP     negedge:C[7]       posedge:CLK  72.9587:127.562:196.533
SETUP     negedge:C[8]       posedge:CLK  71.6783:125.323:193.084
SETUP     negedge:C[9]       posedge:CLK  60.9952:106.645:164.306
SETUP     negedge:C[10]      posedge:CLK  66.9174:116.999:180.259
SETUP     negedge:C[11]      posedge:CLK  74.4661:130.198:200.594
SETUP     negedge:C[12]      posedge:CLK  68.8035:120.297:185.34
SETUP     negedge:C[13]      posedge:CLK  75.1638:131.417:202.473
SETUP     negedge:C[14]      posedge:CLK  70.5106:123.282:189.939
SETUP     negedge:C[15]      posedge:CLK  67.4637:117.954:181.731
SETUP     negedge:CHOLD      posedge:CLK  96.6549:168.993:260.365
SETUP     negedge:D[0]       posedge:CLK  108.153:189.096:291.337
SETUP     negedge:D[1]       posedge:CLK  92.7589:162.181:249.87
SETUP     negedge:D[2]       posedge:CLK  85.7392:149.908:230.961
SETUP     negedge:D[3]       posedge:CLK  66.5079:116.283:179.156
SETUP     negedge:D[4]       posedge:CLK  87.1725:152.413:234.822
SETUP     negedge:D[5]       posedge:CLK  94.1631:164.636:253.653
SETUP     negedge:D[6]       posedge:CLK  76.365:133.517:205.709
SETUP     negedge:D[7]       posedge:CLK  74.2891:129.888:200.117
SETUP     negedge:D[8]       posedge:CLK  73.7906:129.016:198.774
SETUP     negedge:D[9]       posedge:CLK  72.8397:127.354:196.213
SETUP     negedge:D[10]      posedge:CLK  83.4549:145.914:224.807
SETUP     negedge:D[11]      posedge:CLK  70.5077:123.276:189.931
SETUP     negedge:D[12]      posedge:CLK  69.631:121.744:187.569
SETUP     negedge:D[13]      posedge:CLK  53.8276:94.1128:144.999
SETUP     negedge:D[14]      posedge:CLK  86.0746:150.494:231.864
SETUP     negedge:D[15]      posedge:CLK  52.9401:92.5612:142.608
SETUP     negedge:DHOLD      posedge:CLK  118.176:206.62:318.338
SETUP     negedge:OHOLDBOT   posedge:CLK  160.082:279.89:431.224
SETUP     negedge:OHOLDTOP   posedge:CLK  108.687:190.03:292.777
SETUP     negedge:OLOADBOT   posedge:CLK  168.779:295.096:454.651
SETUP     negedge:OLOADTOP   posedge:CLK  136.974:239.487:368.974
SETUP     posedge:A[0]       posedge:CLK  1276.57:2231.97:3438.77
SETUP     posedge:A[1]       posedge:CLK  1348.46:2357.67:3632.43
SETUP     posedge:A[2]       posedge:CLK  1359.04:2376.17:3660.93
SETUP     posedge:A[3]       posedge:CLK  1368.94:2393.47:3687.59
SETUP     posedge:A[4]       posedge:CLK  1414.61:2473.32:3810.62
SETUP     posedge:A[5]       posedge:CLK  1495.46:2614.69:4028.42
SETUP     posedge:A[6]       posedge:CLK  1421.69:2485.7:3829.69
SETUP     posedge:A[7]       posedge:CLK  1432.81:2505.14:3859.65
SETUP     posedge:A[8]       posedge:CLK  1265.99:2213.46:3410.26
SETUP     posedge:A[9]       posedge:CLK  1355.85:2370.59:3652.34
SETUP     posedge:A[10]      posedge:CLK  1319.7:2307.38:3554.95
SETUP     posedge:A[11]      posedge:CLK  1336.7:2337.11:3600.75
SETUP     posedge:A[12]      posedge:CLK  1373.76:2401.89:3700.57
SETUP     posedge:A[13]      posedge:CLK  1396.2:2441.13:3761.01
SETUP     posedge:A[14]      posedge:CLK  1406.8:2459.67:3789.59
SETUP     posedge:A[15]      posedge:CLK  1413.09:2470.67:3806.53
SETUP     posedge:ADDSUBBOT  posedge:CLK  694.803:1214.8:1871.63
SETUP     posedge:ADDSUBTOP  posedge:CLK  695.242:1215.57:1872.81
SETUP     posedge:AHOLD      posedge:CLK  112.255:196.268:302.387
SETUP     posedge:B[0]       posedge:CLK  1480.72:2588.91:3988.7
SETUP     posedge:B[1]       posedge:CLK  1556.47:2721.35:4192.75
SETUP     posedge:B[2]       posedge:CLK  1518.14:2654.33:4089.5
SETUP     posedge:B[3]       posedge:CLK  1539.28:2691.29:4146.44
SETUP     posedge:B[4]       posedge:CLK  1478:2584.16:3981.38
SETUP     posedge:B[5]       posedge:CLK  1502.25:2626.56:4046.71
SETUP     posedge:B[6]       posedge:CLK  1347.52:2356.02:3629.89
SETUP     posedge:B[7]       posedge:CLK  1401:2449.52:3773.95
SETUP     posedge:B[8]       posedge:CLK  1434.29:2507.73:3863.64
SETUP     posedge:B[9]       posedge:CLK  1530.72:2676.33:4123.39
SETUP     posedge:B[10]      posedge:CLK  1491.2:2607.24:4016.95
SETUP     posedge:B[11]      posedge:CLK  1513.87:2646.87:4078
SETUP     posedge:B[12]      posedge:CLK  1464.89:2561.24:3946.07
SETUP     posedge:B[13]      posedge:CLK  1462.87:2557.71:3940.63
SETUP     posedge:B[14]      posedge:CLK  1291.99:2258.94:3480.32
SETUP     posedge:B[15]      posedge:CLK  1451.09:2537.11:3908.89
SETUP     posedge:BHOLD      posedge:CLK  108.711:190.072:292.842
SETUP     posedge:C[0]       posedge:CLK  74.8132:130.804:201.529
SETUP     posedge:C[1]       posedge:CLK  82.5498:144.331:222.369
SETUP     posedge:C[2]       posedge:CLK  71.5702:125.134:192.793
SETUP     posedge:C[3]       posedge:CLK  72.2728:126.363:194.685
SETUP     posedge:C[4]       posedge:CLK  74.266:129.848:200.055
SETUP     posedge:C[5]       posedge:CLK  61.3797:107.317:165.342
SETUP     posedge:C[6]       posedge:CLK  74.6879:130.585:201.191
SETUP     posedge:C[7]       posedge:CLK  68.2204:119.277:183.769
SETUP     posedge:C[8]       posedge:CLK  66.1957:115.737:178.315
SETUP     posedge:C[9]       posedge:CLK  50.5943:88.4598:136.289
SETUP     posedge:C[10]      posedge:CLK  60.2041:105.262:162.175
SETUP     posedge:C[11]      posedge:CLK  64.0185:111.931:172.45
SETUP     posedge:C[12]      posedge:CLK  60.6609:106.06:163.406
SETUP     posedge:C[13]      posedge:CLK  70.2254:122.783:189.17
SETUP     posedge:C[14]      posedge:CLK  61.7086:107.892:166.228
SETUP     posedge:C[15]      posedge:CLK  65.351:114.26:176.04
SETUP     posedge:CHOLD      posedge:CLK  75.0689:131.251:202.218
SETUP     posedge:D[0]       posedge:CLK  95.4921:166.96:257.233
SETUP     posedge:D[1]       posedge:CLK  93.8971:164.171:252.936
SETUP     posedge:D[2]       posedge:CLK  80.0063:139.884:215.518
SETUP     posedge:D[3]       posedge:CLK  62.8847:109.948:169.396
SETUP     posedge:D[4]       posedge:CLK  82.9524:145.035:223.454
SETUP     posedge:D[5]       posedge:CLK  92.4752:161.685:249.106
SETUP     posedge:D[6]       posedge:CLK  64.8554:113.394:174.705
SETUP     posedge:D[7]       posedge:CLK  76.8532:134.371:207.024
SETUP     posedge:D[8]       posedge:CLK  67.8064:118.554:182.654
SETUP     posedge:D[9]       posedge:CLK  67.8457:118.622:182.76
SETUP     posedge:D[10]      posedge:CLK  74.3501:129.995:200.281
SETUP     posedge:D[11]      posedge:CLK  62.3375:108.992:167.922
SETUP     posedge:D[12]      posedge:CLK  65.4591:114.45:176.331
SETUP     posedge:D[13]      posedge:CLK  51.0127:89.1913:137.416
SETUP     posedge:D[14]      posedge:CLK  81.9951:143.361:220.875
SETUP     posedge:D[15]      posedge:CLK  56.8509:99.3988:153.143
SETUP     posedge:DHOLD      posedge:CLK  95.2723:166.575:256.641
SETUP     posedge:OHOLDBOT   posedge:CLK  128.64:224.916:346.525
SETUP     posedge:OHOLDTOP   posedge:CLK  78.7501:137.688:212.134
SETUP     posedge:OLOADBOT   posedge:CLK  163.125:285.211:439.421
SETUP     posedge:OLOADTOP   posedge:CLK  145.364:254.156:391.575
IOPATH    A[8]               ACCUMCO      2138.1:3738.28:5759.53   2243.93:3923.32:6044.62
IOPATH    A[8]               CO           2419.54:4230.35:6517.65  2512.38:4392.68:6767.75
IOPATH    A[8]               SIGNEXTOUT   1732.56:3029.23:4667.11  1795.63:3139.5:4836.99
IOPATH    A[9]               ACCUMCO      2280.35:3987:6142.73     2386.27:4172.18:6428.04
IOPATH    A[9]               CO           2561.79:4479.07:6900.85  2654.72:4641.54:7151.17
IOPATH    A[9]               SIGNEXTOUT   1874.85:3278.02:5050.4   1937.92:3388.28:5220.29
IOPATH    A[10]              ACCUMCO      2223.37:3887.37:5989.23  2324.82:4064.74:6262.5
IOPATH    A[10]              CO           2504.81:4379.44:6747.35  2593.27:4534.1:6985.63
IOPATH    A[10]              SIGNEXTOUT   1817.85:3178.35:4896.85  1880.91:3288.61:5066.73
IOPATH    A[11]              ACCUMCO      2227.73:3894.99:6000.97  2353.72:4115.27:6340.35
IOPATH    A[11]              CO           2509.17:4387.06:6759.09  2622.17:4584.63:7063.49
IOPATH    A[11]              SIGNEXTOUT   1840.58:3218.09:4958.08  1903.65:3328.36:5127.96
IOPATH    A[12]              ACCUMCO      2286.8:3998.26:6160.08   2416.71:4225.41:6510.05
IOPATH    A[12]              CO           2568.23:4490.33:6918.2   2685.16:4694.77:7233.18
IOPATH    A[12]              SIGNEXTOUT   1903.57:3328.23:5127.77  1966.64:3438.5:5297.65
IOPATH    A[13]              ACCUMCO      2308.3:4035.87:6218.01   2454.86:4292.1:6612.79
IOPATH    A[13]              CO           2589.74:4527.93:6976.14  2723.3:4761.46:7335.93
IOPATH    A[13]              SIGNEXTOUT   1941.72:3394.92:5230.52  2004.78:3505.19:5400.4
IOPATH    A[14]              ACCUMCO      2324.65:4064.45:6262.05  2470.56:4319.55:6655.08
IOPATH    A[14]              CO           2606.09:4556.51:7020.17  2739:4788.91:7378.22
IOPATH    A[14]              SIGNEXTOUT   1959.75:3426.46:5279.1   2022.82:3536.72:5448.98
IOPATH    A[15]              ACCUMCO      2335.91:4084.14:6292.39  2483.6:4342.36:6690.22
IOPATH    A[15]              CO           2617.35:4576.21:7050.51  2752.05:4811.72:7413.35
IOPATH    A[15]              SIGNEXTOUT   1970.44:3445.15:5307.9   2033.51:3555.41:5477.78
IOPATH    ADDSUBTOP          ACCUMCO      787.31:1376.54:2120.82   929.701:1625.5:2504.39
IOPATH    ADDSUBTOP          CO           225.799:394.79:608.248   220.814:386.074:594.82
IOPATH    B[8]               ACCUMCO      2348.78:4106.64:6327.06  2517.34:4401.35:6781.12
IOPATH    B[8]               CO           2630.22:4598.71:7085.18  2785.79:4870.71:7504.25
IOPATH    B[8]               SIGNEXTOUT   2006.48:3508.16:5404.98  2069.55:3618.42:5574.87
IOPATH    B[9]               ACCUMCO      2538.27:4437.94:6837.49  2681.28:4687.98:7222.71
IOPATH    B[9]               CO           2819.71:4930.01:7595.61  2949.73:5157.34:7945.85
IOPATH    B[9]               SIGNEXTOUT   2170.41:3794.77:5846.56  2233.47:3905.03:6016.44
IOPATH    B[10]              ACCUMCO      2435.63:4258.48:6561     2613.79:4569.99:7040.93
IOPATH    B[10]              CO           2717.07:4750.55:7319.12  2882.24:5039.35:7764.07
IOPATH    B[10]              SIGNEXTOUT   2103.23:3677.32:5665.61  2166.3:3787.59:5835.49
IOPATH    B[11]              ACCUMCO      2572.89:4498.48:6930.76  2652.62:4637.87:7145.52
IOPATH    B[11]              CO           2854.33:4990.55:7688.88  2921.07:5107.23:7868.65
IOPATH    B[11]              SIGNEXTOUT   2167.36:3789.45:5838.36  2230.43:3899.71:6008.24
IOPATH    B[12]              ACCUMCO      2418.48:4228.49:6514.79  2569.38:4492.33:6921.28
IOPATH    B[12]              CO           2699.91:4720.56:7272.92  2837.83:4961.69:7644.42
IOPATH    B[12]              SIGNEXTOUT   2058.51:3599.12:5545.12  2121.57:3709.38:5715
IOPATH    B[13]              ACCUMCO      2455.79:4293.73:6615.31  2577.06:4505.77:6941.98
IOPATH    B[13]              CO           2737.23:4785.8:7373.43   2845.51:4975.13:7665.12
IOPATH    B[13]              SIGNEXTOUT   2066.16:3612.51:5565.75  2129.23:3722.77:5735.63
IOPATH    B[14]              ACCUMCO      2267.72:3964.91:6108.69  2406.91:4208.27:6483.63
IOPATH    B[14]              CO           2549.16:4456.98:6866.81  2675.36:4677.63:7206.77
IOPATH    B[14]              SIGNEXTOUT   1896.09:3315.15:5107.61  1959.15:3425.41:5277.49
IOPATH    B[15]              ACCUMCO      2500.3:4371.55:6735.19   2702.6:4725.26:7280.16
IOPATH    B[15]              CO           2781.73:4863.62:7493.32  2971.05:5194.62:8003.3
IOPATH    B[15]              SIGNEXTOUT   2191.7:3831.99:5903.9    2254.76:3942.25:6073.78
IOPATH    posedge:CLK        ACCUMCO      993.893:1737.74:2677.31  1143.67:1999.61:3080.77
IOPATH    posedge:CLK        CO           1275.33:2229.8:3435.43   1412.12:2468.97:3803.91
IOPATH    posedge:CLK        O[0]         484.291:846.74:1304.56   503.715:880.702:1356.89
IOPATH    posedge:CLK        O[1]         480.645:840.365:1294.74  488.366:853.864:1315.54
IOPATH    posedge:CLK        O[2]         494.478:864.552:1332     511.702:894.667:1378.4
IOPATH    posedge:CLK        O[3]         533.809:933.318:1437.95  552.823:966.562:1489.17
IOPATH    posedge:CLK        O[4]         495.145:865.718:1333.8   516.326:902.751:1390.86
IOPATH    posedge:CLK        O[5]         505.299:883.471:1361.15  531.232:928.813:1431.01
IOPATH    posedge:CLK        O[6]         565.638:988.968:1523.69  599.669:1048.47:1615.37
IOPATH    posedge:CLK        O[7]         548.402:958.833:1477.26  583.72:1020.58:1572.4
IOPATH    posedge:CLK        O[8]         582.966:1019.26:1570.37  630.996:1103.24:1699.75
IOPATH    posedge:CLK        O[9]         582.292:1018.09:1568.55  644.106:1126.16:1735.07
IOPATH    posedge:CLK        O[10]        562.29:983.114:1514.67   601.295:1051.31:1619.74
IOPATH    posedge:CLK        O[11]        650.17:1136.76:1751.4    694.549:1214.36:1870.95
IOPATH    posedge:CLK        O[12]        562.067:982.725:1514.07  595.268:1040.77:1603.51
IOPATH    posedge:CLK        O[13]        576.898:1008.66:1554.02  623.225:1089.65:1678.82
IOPATH    posedge:CLK        O[14]        621.547:1086.72:1674.3   671.774:1174.54:1809.6
IOPATH    posedge:CLK        O[15]        628.295:1098.52:1692.47  676.544:1182.88:1822.45
IOPATH    posedge:CLK        O[16]        616.964:1078.71:1661.95  697.994:1220.38:1880.23
IOPATH    posedge:CLK        O[17]        614.376:1074.18:1654.98  684.264:1196.38:1843.24
IOPATH    posedge:CLK        O[18]        634.244:1108.92:1708.5   726.803:1270.75:1957.83
IOPATH    posedge:CLK        O[19]        679.466:1187.99:1830.32  736.346:1287.44:1983.54
IOPATH    posedge:CLK        O[20]        636.406:1112.7:1714.32   683.274:1194.65:1840.58
IOPATH    posedge:CLK        O[21]        575.777:1006.7:1551      614.033:1073.58:1654.06
IOPATH    posedge:CLK        O[22]        587.801:1027.72:1583.39  645.037:1127.79:1737.57
IOPATH    posedge:CLK        O[23]        584.816:1022.5:1575.35   632.227:1105.39:1703.07
IOPATH    posedge:CLK        O[24]        570.32:997.155:1536.31   610.807:1067.94:1645.37
IOPATH    posedge:CLK        O[25]        583.365:1019.96:1571.45  631.082:1103.39:1699.98
IOPATH    posedge:CLK        O[26]        609.352:1065.4:1641.45   672.86:1176.44:1812.52
IOPATH    posedge:CLK        O[27]        566.105:989.785:1524.95  603.521:1055.2:1625.74
IOPATH    posedge:CLK        O[28]        556.046:972.197:1497.85  592.441:1035.83:1595.89
IOPATH    posedge:CLK        O[29]        554.749:969.929:1494.36  588.697:1029.29:1585.81
IOPATH    posedge:CLK        O[30]        538.732:941.926:1451.21  561.968:982.551:1513.81
IOPATH    posedge:CLK        O[31]        529.07:925.033:1425.19   550.159:961.905:1482

CELL SB_MAC16_MAC_U_8X8_IM_BYPASS
HOLD      negedge:A[0]       posedge:CLK  110.748:193.633:298.328
HOLD      negedge:A[1]       posedge:CLK  109.024:190.62:293.686
HOLD      negedge:A[2]       posedge:CLK  106.197:185.676:286.069
HOLD      negedge:A[3]       posedge:CLK  106.3:185.856:286.347
HOLD      negedge:A[4]       posedge:CLK  107.158:187.357:288.658
HOLD      negedge:A[5]       posedge:CLK  90.4067:158.068:243.534
HOLD      negedge:A[6]       posedge:CLK  108.701:190.055:292.816
HOLD      negedge:A[7]       posedge:CLK  104.622:182.922:281.825
HOLD      negedge:A[8]       posedge:CLK  88.5624:154.844:238.566
HOLD      negedge:A[9]       posedge:CLK  82.9013:144.946:223.316
HOLD      negedge:A[10]      posedge:CLK  87.3323:152.693:235.252
HOLD      negedge:A[11]      posedge:CLK  85.0878:148.769:229.206
HOLD      negedge:A[12]      posedge:CLK  84.4245:147.609:227.419
HOLD      negedge:A[13]      posedge:CLK  84.4668:147.683:227.533
HOLD      negedge:A[14]      posedge:CLK  82.0173:143.4:220.935
HOLD      negedge:A[15]      posedge:CLK  89.7533:156.926:241.774
HOLD      negedge:ADDSUBBOT  posedge:CLK  33.9157:59.2987:91.3608
HOLD      negedge:ADDSUBTOP  posedge:CLK  31.7499:55.5119:85.5266
HOLD      negedge:AHOLD      posedge:CLK  99.8238:174.533:268.901
HOLD      negedge:B[0]       posedge:CLK  97.9997:171.344:263.987
HOLD      negedge:B[1]       posedge:CLK  100.797:176.234:271.522
HOLD      negedge:B[2]       posedge:CLK  95.8668:167.615:258.242
HOLD      negedge:B[3]       posedge:CLK  98.2086:171.709:264.55
HOLD      negedge:B[4]       posedge:CLK  99.1261:173.313:267.022
HOLD      negedge:B[5]       posedge:CLK  92.5696:161.85:249.36
HOLD      negedge:B[6]       posedge:CLK  90.3049:157.89:243.26
HOLD      negedge:B[7]       posedge:CLK  90.4092:158.073:243.541
HOLD      negedge:B[8]       posedge:CLK  89.4381:156.375:240.925
HOLD      negedge:B[9]       posedge:CLK  95.4897:166.955:257.226
HOLD      negedge:B[10]      posedge:CLK  82.0453:143.449:221.01
HOLD      negedge:B[11]      posedge:CLK  87.8741:153.64:236.712
HOLD      negedge:B[12]      posedge:CLK  92.8081:162.267:250.003
HOLD      negedge:B[13]      posedge:CLK  87.9365:153.749:236.88
HOLD      negedge:B[14]      posedge:CLK  83.4648:145.931:224.834
HOLD      negedge:B[15]      posedge:CLK  96.707:169.084:260.505
HOLD      negedge:BHOLD      posedge:CLK  87.0968:152.281:234.618
HOLD      negedge:C[0]       posedge:CLK  117.028:204.613:315.245
HOLD      negedge:C[1]       posedge:CLK  118.587:207.339:319.445
HOLD      negedge:C[2]       posedge:CLK  116.275:203.296:313.216
HOLD      negedge:C[3]       posedge:CLK  114.747:200.625:309.101
HOLD      negedge:C[4]       posedge:CLK  115.462:201.875:311.027
HOLD      negedge:C[5]       posedge:CLK  116.556:203.788:313.974
HOLD      negedge:C[6]       posedge:CLK  115.685:202.265:311.628
HOLD      negedge:C[7]       posedge:CLK  118.049:206.399:317.996
HOLD      negedge:C[8]       posedge:CLK  116.568:203.809:314.005
HOLD      negedge:C[9]       posedge:CLK  115.634:202.176:311.49
HOLD      negedge:C[10]      posedge:CLK  114.576:200.327:308.641
HOLD      negedge:C[11]      posedge:CLK  116.01:202.834:312.504
HOLD      negedge:C[12]      posedge:CLK  117.593:205.602:316.768
HOLD      negedge:C[13]      posedge:CLK  116.004:202.823:312.488
HOLD      negedge:C[14]      posedge:CLK  115.659:202.22:311.558
HOLD      negedge:C[15]      posedge:CLK  115.814:202.49:311.974
HOLD      negedge:CHOLD      posedge:CLK  105.921:185.194:285.326
HOLD      negedge:D[0]       posedge:CLK  93.8499:164.088:252.809
HOLD      negedge:D[1]       posedge:CLK  91.1157:159.308:245.444
HOLD      negedge:D[2]       posedge:CLK  90.5296:158.283:243.865
HOLD      negedge:D[3]       posedge:CLK  88.619:154.943:238.718
HOLD      negedge:D[4]       posedge:CLK  87.0284:152.162:234.434
HOLD      negedge:D[5]       posedge:CLK  91.8198:160.539:247.34
HOLD      negedge:D[6]       posedge:CLK  91.8916:160.664:247.534
HOLD      negedge:D[7]       posedge:CLK  89.1097:155.8:240.04
HOLD      negedge:D[8]       posedge:CLK  124.891:218.361:336.426
HOLD      negedge:D[9]       posedge:CLK  85.2382:149.032:229.611
HOLD      negedge:D[10]      posedge:CLK  127.421:222.785:343.243
HOLD      negedge:D[11]      posedge:CLK  125.995:220.291:339.401
HOLD      negedge:D[12]      posedge:CLK  124.859:218.305:336.34
HOLD      negedge:D[13]      posedge:CLK  122.833:214.763:330.883
HOLD      negedge:D[14]      posedge:CLK  89.7017:156.836:241.635
HOLD      negedge:D[15]      posedge:CLK  127.656:223.195:343.873
HOLD      negedge:DHOLD      posedge:CLK  115.052:201.159:309.923
HOLD      negedge:OHOLDBOT   posedge:CLK  77.3041:135.159:208.239
HOLD      negedge:OHOLDTOP   posedge:CLK  77.3316:135.208:208.313
HOLD      negedge:OLOADBOT   posedge:CLK  95.2856:166.599:256.676
HOLD      negedge:OLOADTOP   posedge:CLK  87.8451:153.589:236.634
HOLD      posedge:A[0]       posedge:CLK  85.7097:149.856:230.881
HOLD      posedge:A[1]       posedge:CLK  84.2347:147.277:226.908
HOLD      posedge:A[2]       posedge:CLK  80.3687:140.518:216.494
HOLD      posedge:A[3]       posedge:CLK  80.0702:139.996:215.69
HOLD      posedge:A[4]       posedge:CLK  81.8516:143.11:220.488
HOLD      posedge:A[5]       posedge:CLK  69.0115:120.661:185.9
HOLD      posedge:A[6]       posedge:CLK  82.5748:144.375:222.437
HOLD      posedge:A[7]       posedge:CLK  79.1198:138.334:213.13
HOLD      posedge:A[8]       posedge:CLK  67.9357:118.78:183.002
HOLD      posedge:A[9]       posedge:CLK  63.8627:111.658:172.031
HOLD      posedge:A[10]      posedge:CLK  67.2537:117.587:181.165
HOLD      posedge:A[11]      posedge:CLK  65.436:114.409:176.269
HOLD      posedge:A[12]      posedge:CLK  65.4616:114.454:176.338
HOLD      posedge:A[13]      posedge:CLK  64.7713:113.247:174.478
HOLD      posedge:A[14]      posedge:CLK  61.6364:107.766:166.034
HOLD      posedge:A[15]      posedge:CLK  68.3521:119.508:184.124
HOLD      posedge:ADDSUBBOT  posedge:CLK  28.5314:49.8847:76.8567
HOLD      posedge:ADDSUBTOP  posedge:CLK  25.6531:44.8523:69.1034
HOLD      posedge:AHOLD      posedge:CLK  80.3569:140.497:216.462
HOLD      posedge:B[0]       posedge:CLK  78.3081:136.915:210.943
HOLD      posedge:B[1]       posedge:CLK  79.4822:138.968:214.106
HOLD      posedge:B[2]       posedge:CLK  75.7681:132.474:204.101
HOLD      posedge:B[3]       posedge:CLK  77.8159:136.054:209.617
HOLD      posedge:B[4]       posedge:CLK  79.2472:138.557:213.473
HOLD      posedge:B[5]       posedge:CLK  71.6174:125.217:192.92
HOLD      posedge:B[6]       posedge:CLK  69.5818:121.658:187.437
HOLD      posedge:B[7]       posedge:CLK  69.9963:122.382:188.553
HOLD      posedge:B[8]       posedge:CLK  68.4549:119.687:184.401
HOLD      posedge:B[9]       posedge:CLK  74.2945:129.897:200.132
HOLD      posedge:B[10]      posedge:CLK  63.8243:111.591:171.927
HOLD      posedge:B[11]      posedge:CLK  66.9735:117.097:180.41
HOLD      posedge:B[12]      posedge:CLK  71.9478:125.794:193.81
HOLD      posedge:B[13]      posedge:CLK  67.62:118.228:182.152
HOLD      posedge:B[14]      posedge:CLK  63.871:111.673:172.053
HOLD      posedge:B[15]      posedge:CLK  75.6648:132.293:203.823
HOLD      posedge:BHOLD      posedge:CLK  72.0648:125.999:194.125
HOLD      posedge:C[0]       posedge:CLK  96.5222:168.761:260.007
HOLD      posedge:C[1]       posedge:CLK  98.1752:171.651:264.46
HOLD      posedge:C[2]       posedge:CLK  95.6401:167.218:257.631
HOLD      posedge:C[3]       posedge:CLK  95.1755:166.406:256.38
HOLD      posedge:C[4]       posedge:CLK  95.292:166.61:256.694
HOLD      posedge:C[5]       posedge:CLK  96.5187:168.755:259.998
HOLD      posedge:C[6]       posedge:CLK  93.3258:163.172:251.397
HOLD      posedge:C[7]       posedge:CLK  97.2051:169.955:261.847
HOLD      posedge:C[8]       posedge:CLK  95.3171:166.654:256.761
HOLD      posedge:C[9]       posedge:CLK  93.9104:164.194:252.972
HOLD      posedge:C[10]      posedge:CLK  92.6011:161.905:249.445
HOLD      posedge:C[11]      posedge:CLK  95.0496:166.186:256.041
HOLD      posedge:C[12]      posedge:CLK  97.0532:169.689:261.438
HOLD      posedge:C[13]      posedge:CLK  94.8544:165.845:255.515
HOLD      posedge:C[14]      posedge:CLK  93.6616:163.759:252.302
HOLD      posedge:C[15]      posedge:CLK  95.674:167.278:257.723
HOLD      posedge:CHOLD      posedge:CLK  90.7543:158.676:244.47
HOLD      posedge:D[0]       posedge:CLK  73.7198:128.893:198.583
HOLD      posedge:D[1]       posedge:CLK  70.7638:123.724:190.621
HOLD      posedge:D[2]       posedge:CLK  68.2553:119.338:183.863
HOLD      posedge:D[3]       posedge:CLK  67.0959:117.311:180.74
HOLD      posedge:D[4]       posedge:CLK  66.5605:116.375:179.298
HOLD      posedge:D[5]       posedge:CLK  70.8135:123.811:190.754
HOLD      posedge:D[6]       posedge:CLK  72.5363:126.823:195.395
HOLD      posedge:D[7]       posedge:CLK  69.069:120.761:186.055
HOLD      posedge:D[8]       posedge:CLK  102.435:179.099:275.935
HOLD      posedge:D[9]       posedge:CLK  67.0453:117.223:180.604
HOLD      posedge:D[10]      posedge:CLK  103.325:180.656:278.334
HOLD      posedge:D[11]      posedge:CLK  102.772:179.688:276.843
HOLD      posedge:D[12]      posedge:CLK  101.446:177.369:273.271
HOLD      posedge:D[13]      posedge:CLK  99.3218:173.655:267.549
HOLD      posedge:D[14]      posedge:CLK  69.0857:120.79:186.1
HOLD      posedge:D[15]      posedge:CLK  103.59:181.119:279.048
HOLD      posedge:DHOLD      posedge:CLK  96.4425:168.621:259.793
HOLD      posedge:OHOLDBOT   posedge:CLK  92.3813:161.521:248.853
HOLD      posedge:OHOLDTOP   posedge:CLK  91.8862:160.655:247.519
HOLD      posedge:OLOADBOT   posedge:CLK  84.2092:147.232:226.839
HOLD      posedge:OLOADTOP   posedge:CLK  81.0865:141.773:218.428
RECOVERY  negedge:IRSTBOT    posedge:CLK  392.14:685.622:1056.33
RECOVERY  negedge:IRSTTOP    posedge:CLK  417.485:729.935:1124.6
RECOVERY  negedge:ORSTBOT    posedge:CLK  302.84:529.489:815.777
RECOVERY  negedge:ORSTTOP    posedge:CLK  348.814:609.871:939.622
RECOVERY  posedge:IRSTBOT    posedge:CLK  0:0:0
RECOVERY  posedge:IRSTTOP    posedge:CLK  0:0:0
RECOVERY  posedge:ORSTBOT    posedge:CLK  0:0:0
RECOVERY  posedge:ORSTTOP    posedge:CLK  0:0:0
REMOVAL   negedge:IRSTBOT    posedge:CLK  0:0:0
REMOVAL   negedge:IRSTTOP    posedge:CLK  0:0:0
REMOVAL   negedge:ORSTBOT    posedge:CLK  0:0:0
REMOVAL   negedge:ORSTTOP    posedge:CLK  0:0:0
REMOVAL   posedge:IRSTBOT    posedge:CLK  0:0:0
REMOVAL   posedge:IRSTTOP    posedge:CLK  0:0:0
REMOVAL   posedge:ORSTBOT    posedge:CLK  0:0:0
REMOVAL   posedge:ORSTTOP    posedge:CLK  0:0:0
SETUP     negedge:A[0]       posedge:CLK  -38.0915:-66.5997:-102.609
SETUP     negedge:A[1]       posedge:CLK  -35.3421:-61.7925:-95.2031
SETUP     negedge:A[2]       posedge:CLK  -36.3771:-63.6021:-97.991
SETUP     negedge:A[3]       posedge:CLK  -31.7809:-55.5661:-85.61
SETUP     negedge:A[4]       posedge:CLK  -33.0592:-57.8012:-89.0536
SETUP     negedge:A[5]       posedge:CLK  -24.3846:-42.6344:-65.6863
SETUP     negedge:A[6]       posedge:CLK  -37.1962:-65.0343:-100.198
SETUP     negedge:A[7]       posedge:CLK  -29.4636:-51.5146:-79.3679
SETUP     negedge:A[8]       posedge:CLK  -23.3442:-40.8153:-62.8837
SETUP     negedge:A[9]       posedge:CLK  -7.81812:-13.6693:-21.0601
SETUP     negedge:A[10]      posedge:CLK  -20.4556:-35.7649:-55.1026
SETUP     negedge:A[11]      posedge:CLK  -20.6528:-36.1096:-55.6337
SETUP     negedge:A[12]      posedge:CLK  -18.7825:-32.8395:-50.5955
SETUP     negedge:A[13]      posedge:CLK  -19.3445:-33.8221:-52.1093
SETUP     negedge:A[14]      posedge:CLK  -11.0696:-19.3542:-29.8187
SETUP     negedge:A[15]      posedge:CLK  -20.8421:-36.4406:-56.1436
SETUP     negedge:ADDSUBBOT  posedge:CLK  674.104:1178.61:1815.87
SETUP     negedge:ADDSUBTOP  posedge:CLK  676.75:1183.24:1823
SETUP     negedge:AHOLD      posedge:CLK  140.197:245.122:377.657
SETUP     negedge:B[0]       posedge:CLK  -26.7973:-46.8527:-72.1854
SETUP     negedge:B[1]       posedge:CLK  -26.5755:-46.465:-71.588
SETUP     negedge:B[2]       posedge:CLK  -26.8351:-46.9189:-72.2874
SETUP     negedge:B[3]       posedge:CLK  -31.2808:-54.6918:-84.2631
SETUP     negedge:B[4]       posedge:CLK  -20.525:-35.8861:-55.2893
SETUP     negedge:B[5]       posedge:CLK  -23.0684:-40.3331:-62.1407
SETUP     negedge:B[6]       posedge:CLK  -21.2841:-37.2134:-57.3343
SETUP     negedge:B[7]       posedge:CLK  -21.3225:-37.2805:-57.4376
SETUP     negedge:B[8]       posedge:CLK  -24.4284:-42.7109:-65.8042
SETUP     negedge:B[9]       posedge:CLK  -28.408:-49.6689:-76.5243
SETUP     negedge:B[10]      posedge:CLK  -9.44262:-16.5096:-25.4361
SETUP     negedge:B[11]      posedge:CLK  -20.5353:-35.9042:-55.3171
SETUP     negedge:B[12]      posedge:CLK  -21.4931:-37.5788:-57.8972
SETUP     negedge:B[13]      posedge:CLK  -6.6853:-11.6887:-18.0086
SETUP     negedge:B[14]      posedge:CLK  -10.8006:-18.8839:-29.0943
SETUP     negedge:B[15]      posedge:CLK  -13.8633:-24.2387:-37.3443
SETUP     negedge:BHOLD      posedge:CLK  130.019:227.327:350.24
SETUP     negedge:C[0]       posedge:CLK  -32.0021:-55.9529:-86.206
SETUP     negedge:C[1]       posedge:CLK  -33.1822:-58.0161:-89.3847
SETUP     negedge:C[2]       posedge:CLK  -38.8217:-67.8763:-104.576
SETUP     negedge:C[3]       posedge:CLK  -36.8073:-64.3543:-99.1499
SETUP     negedge:C[4]       posedge:CLK  -31.1963:-54.544:-84.0353
SETUP     negedge:C[5]       posedge:CLK  -30.7597:-53.7806:-82.8591
SETUP     negedge:C[6]       posedge:CLK  -34.7855:-60.8194:-93.7038
SETUP     negedge:C[7]       posedge:CLK  -30.8737:-53.98:-83.1664
SETUP     negedge:C[8]       posedge:CLK  -31.5645:-55.1878:-85.0273
SETUP     negedge:C[9]       posedge:CLK  -34.2737:-59.9245:-92.325
SETUP     negedge:C[10]      posedge:CLK  -31.9417:-55.8472:-86.0431
SETUP     negedge:C[11]      posedge:CLK  -31.4224:-54.9394:-84.6445
SETUP     negedge:C[12]      posedge:CLK  -34.9605:-61.1255:-94.1753
SETUP     negedge:C[13]      posedge:CLK  -34.6709:-60.6191:-93.3952
SETUP     negedge:C[14]      posedge:CLK  -33.6709:-58.8706:-90.7012
SETUP     negedge:C[15]      posedge:CLK  -42.5692:-74.4285:-114.671
SETUP     negedge:CHOLD      posedge:CLK  96.6549:168.993:260.365
SETUP     negedge:D[0]       posedge:CLK  -23.8202:-41.6475:-64.1658
SETUP     negedge:D[1]       posedge:CLK  -22.475:-39.2955:-60.5421
SETUP     negedge:D[2]       posedge:CLK  -19.3685:-33.8642:-52.1742
SETUP     negedge:D[3]       posedge:CLK  -23.4013:-40.9151:-63.0374
SETUP     negedge:D[4]       posedge:CLK  -13.3534:-23.3472:-35.9708
SETUP     negedge:D[5]       posedge:CLK  -19.9374:-34.8588:-53.7066
SETUP     negedge:D[6]       posedge:CLK  -15.8963:-27.7934:-42.8209
SETUP     negedge:D[7]       posedge:CLK  -21.8372:-38.1805:-58.8243
SETUP     negedge:D[8]       posedge:CLK  -44.7159:-78.1818:-120.454
SETUP     negedge:D[9]       posedge:CLK  -14.6229:-25.5669:-39.3906
SETUP     negedge:D[10]      posedge:CLK  -45.6397:-79.7971:-122.942
SETUP     negedge:D[11]      posedge:CLK  -46.5478:-81.3848:-125.389
SETUP     negedge:D[12]      posedge:CLK  -45.9701:-80.3747:-123.832
SETUP     negedge:D[13]      posedge:CLK  -44.4627:-77.7391:-119.772
SETUP     negedge:D[14]      posedge:CLK  -15.2463:-26.6569:-41.07
SETUP     negedge:D[15]      posedge:CLK  -42.8785:-74.9693:-115.504
SETUP     negedge:DHOLD      posedge:CLK  118.176:206.62:318.338
SETUP     negedge:OHOLDBOT   posedge:CLK  160.151:280.01:431.408
SETUP     negedge:OHOLDTOP   posedge:CLK  108.807:190.239:293.099
SETUP     negedge:OLOADBOT   posedge:CLK  168.99:295.464:455.217
SETUP     negedge:OLOADTOP   posedge:CLK  137.087:239.685:369.28
SETUP     posedge:A[0]       posedge:CLK  -25.8188:-45.142:-69.5497
SETUP     posedge:A[1]       posedge:CLK  -21.4842:-37.5633:-57.8733
SETUP     posedge:A[2]       posedge:CLK  -27.8263:-48.6519:-74.9575
SETUP     posedge:A[3]       posedge:CLK  -15.2119:-26.5967:-40.9773
SETUP     posedge:A[4]       posedge:CLK  -17.8208:-31.158:-48.0048
SETUP     posedge:A[5]       posedge:CLK  -12.7796:-22.344:-34.4252
SETUP     posedge:A[6]       posedge:CLK  -27.0839:-47.3538:-72.9575
SETUP     posedge:A[7]       posedge:CLK  -18.7776:-32.8309:-50.5822
SETUP     posedge:A[8]       posedge:CLK  -19.8838:-34.7651:-53.5622
SETUP     posedge:A[9]       posedge:CLK  5.23535:9.15356:14.1028
SETUP     posedge:A[10]      posedge:CLK  -10.9398:-19.1272:-29.4691
SETUP     posedge:A[11]      posedge:CLK  -14.8432:-25.952:-39.9839
SETUP     posedge:A[12]      posedge:CLK  -9.94953:-17.3959:-26.8016
SETUP     posedge:A[13]      posedge:CLK  -13.6042:-23.7857:-36.6463
SETUP     posedge:A[14]      posedge:CLK  -3.18114:-5.56194:-8.56922
SETUP     posedge:A[15]      posedge:CLK  -11.4329:-19.9895:-30.7975
SETUP     posedge:ADDSUBBOT  posedge:CLK  694.804:1214.8:1871.63
SETUP     posedge:ADDSUBTOP  posedge:CLK  695.382:1215.81:1873.19
SETUP     posedge:AHOLD      posedge:CLK  112.255:196.268:302.387
SETUP     posedge:B[0]       posedge:CLK  -18.075:-31.6025:-48.6896
SETUP     posedge:B[1]       posedge:CLK  -20.1946:-35.3084:-54.3993
SETUP     posedge:B[2]       posedge:CLK  -19.0839:-33.3665:-51.4073
SETUP     posedge:B[3]       posedge:CLK  -23.8044:-41.62:-64.1234
SETUP     posedge:B[4]       posedge:CLK  -10.3655:-18.1232:-27.9221
SETUP     posedge:B[5]       posedge:CLK  -12.6036:-22.0363:-33.951
SETUP     posedge:B[6]       posedge:CLK  -9.62109:-16.8216:-25.9169
SETUP     posedge:B[7]       posedge:CLK  -11.7245:-20.4992:-31.5829
SETUP     posedge:B[8]       posedge:CLK  -18.3763:-32.1294:-49.5015
SETUP     posedge:B[9]       posedge:CLK  -21.528:-37.6398:-57.9912
SETUP     posedge:B[10]      posedge:CLK  0.92189:1.61184:2.48335
SETUP     posedge:B[11]      posedge:CLK  -10.1437:-17.7355:-27.3248
SETUP     posedge:B[12]      posedge:CLK  -8.14017:-14.2324:-21.9277
SETUP     posedge:B[13]      posedge:CLK  2.41314:4.21916:6.50042
SETUP     posedge:B[14]      posedge:CLK  -3.28586:-5.74504:-8.85132
SETUP     posedge:B[15]      posedge:CLK  -5.1262:-8.96272:-13.8088
SETUP     posedge:BHOLD      posedge:CLK  108.711:190.072:292.842
SETUP     posedge:C[0]       posedge:CLK  -25.8862:-45.2597:-69.7312
SETUP     posedge:C[1]       posedge:CLK  -23.8275:-41.6604:-64.1857
SETUP     posedge:C[2]       posedge:CLK  -27.8588:-48.7087:-75.0449
SETUP     posedge:C[3]       posedge:CLK  -24.1462:-42.2174:-65.0439
SETUP     posedge:C[4]       posedge:CLK  -20.8475:-36.45:-56.1582
SETUP     posedge:C[5]       posedge:CLK  -23.6422:-41.3363:-63.6864
SETUP     posedge:C[6]       posedge:CLK  -30.5153:-53.3534:-82.2009
SETUP     posedge:C[7]       posedge:CLK  -22.0467:-38.5467:-59.3885
SETUP     posedge:C[8]       posedge:CLK  -25.5445:-44.6623:-68.8107
SETUP     posedge:C[9]       posedge:CLK  -26.9389:-47.1003:-72.5668
SETUP     posedge:C[10]      posedge:CLK  -22.6008:-39.5156:-60.8812
SETUP     posedge:C[11]      posedge:CLK  -21.5978:-37.7619:-58.1793
SETUP     posedge:C[12]      posedge:CLK  -28.1626:-49.2399:-75.8634
SETUP     posedge:C[13]      posedge:CLK  -23.9687:-41.9071:-64.5658
SETUP     posedge:C[14]      posedge:CLK  -26.9585:-47.1346:-72.6198
SETUP     posedge:C[15]      posedge:CLK  -35.9149:-62.794:-96.746
SETUP     posedge:CHOLD      posedge:CLK  75.0689:131.251:202.218
SETUP     posedge:D[0]       posedge:CLK  -15.445:-27.0042:-41.6051
SETUP     posedge:D[1]       posedge:CLK  -11.1772:-19.5424:-30.1088
SETUP     posedge:D[2]       posedge:CLK  -5.66311:-9.90145:-15.2551
SETUP     posedge:D[3]       posedge:CLK  -15.0821:-26.3698:-40.6276
SETUP     posedge:D[4]       posedge:CLK  -7.67259:-13.4148:-20.6681
SETUP     posedge:D[5]       posedge:CLK  -12.6646:-22.1429:-34.1153
SETUP     posedge:D[6]       posedge:CLK  -4.97968:-8.70654:-13.4141
SETUP     posedge:D[7]       posedge:CLK  -14.8422:-25.9503:-39.9813
SETUP     posedge:D[8]       posedge:CLK  -40.6576:-71.0862:-109.522
SETUP     posedge:D[9]       posedge:CLK  -5.58739:-9.76907:-15.0511
SETUP     posedge:D[10]      posedge:CLK  -36.4238:-63.6838:-98.1169
SETUP     posedge:D[11]      posedge:CLK  -42.2919:-73.9437:-113.924
SETUP     posedge:D[12]      posedge:CLK  -38.0984:-66.6117:-102.628
SETUP     posedge:D[13]      posedge:CLK  -30.5183:-53.3585:-82.2088
SETUP     posedge:D[14]      posedge:CLK  -7.14846:-12.4985:-19.2562
SETUP     posedge:D[15]      posedge:CLK  -33.6458:-58.8267:-90.6337
SETUP     posedge:DHOLD      posedge:CLK  95.2723:166.575:256.641
SETUP     posedge:OHOLDBOT   posedge:CLK  128.728:225.07:346.763
SETUP     posedge:OHOLDTOP   posedge:CLK  78.8499:137.862:212.403
SETUP     posedge:OLOADBOT   posedge:CLK  163.125:285.211:439.421
SETUP     posedge:OLOADTOP   posedge:CLK  145.593:254.557:392.193
IOPATH    ADDSUBTOP          ACCUMCO      787.55:1376.96:2121.47      929.941:1625.92:2505.04
IOPATH    ADDSUBTOP          CO           226.038:395.208:608.892     220.943:386.299:595.167
IOPATH    posedge:CLK        ACCUMCO      2772.1:4846.78:7467.38      2876.89:5029.99:7749.65
IOPATH    posedge:CLK        CO           3053.54:5338.85:8225.5      3145.34:5499.35:8472.79
IOPATH    posedge:CLK        O[0]         484.416:846.959:1304.9      503.908:881.039:1357.41
IOPATH    posedge:CLK        O[1]         480.851:840.726:1295.3      488.366:853.864:1315.54
IOPATH    posedge:CLK        O[2]         494.478:864.552:1332        511.702:894.667:1378.4
IOPATH    posedge:CLK        O[3]         533.81:933.32:1437.95       552.823:966.562:1489.17
IOPATH    posedge:CLK        O[4]         495.289:865.969:1334.19     516.326:902.751:1390.86
IOPATH    posedge:CLK        O[5]         505.429:883.699:1361.5      531.232:928.813:1431.01
IOPATH    posedge:CLK        O[6]         565.638:988.968:1523.69     599.67:1048.47:1615.37
IOPATH    posedge:CLK        O[7]         548.402:958.833:1477.26     583.72:1020.58:1572.4
IOPATH    posedge:CLK        O[8]         582.967:1019.27:1570.37     630.997:1103.24:1699.75
IOPATH    posedge:CLK        O[9]         584.23:1021.47:1573.77      644.231:1126.38:1735.4
IOPATH    posedge:CLK        O[10]        562.29:983.114:1514.67      601.295:1051.31:1619.74
IOPATH    posedge:CLK        O[11]        650.295:1136.98:1751.74     694.728:1214.67:1871.43
IOPATH    posedge:CLK        O[12]        562.067:982.725:1514.07     595.268:1040.77:1603.51
IOPATH    posedge:CLK        O[13]        577.028:1008.88:1554.37     623.397:1089.96:1679.28
IOPATH    posedge:CLK        O[14]        621.836:1087.23:1675.08     672.218:1175.32:1810.79
IOPATH    posedge:CLK        O[15]        628.433:1098.76:1692.85     676.544:1182.88:1822.45
IOPATH    posedge:CLK        O[16]        617.061:1078.88:1662.21     698.456:1221.19:1881.47
IOPATH    posedge:CLK        O[17]        614.371:1074.17:1654.97     684.719:1197.17:1844.47
IOPATH    posedge:CLK        O[18]        634.244:1108.92:1708.5      727.072:1271.22:1958.56
IOPATH    posedge:CLK        O[19]        679.637:1188.29:1830.78     736.512:1287.73:1983.99
IOPATH    posedge:CLK        O[20]        636.406:1112.7:1714.32      683.383:1194.84:1840.87
IOPATH    posedge:CLK        O[21]        575.928:1006.96:1551.41     615.075:1075.4:1656.86
IOPATH    posedge:CLK        O[22]        587.801:1027.72:1583.39     645.037:1127.79:1737.57
IOPATH    posedge:CLK        O[23]        584.933:1022.7:1575.67      632.227:1105.39:1703.07
IOPATH    posedge:CLK        O[24]        570.471:997.418:1536.71     611.06:1068.39:1646.05
IOPATH    posedge:CLK        O[25]        583.366:1019.96:1571.45     631.082:1103.39:1699.98
IOPATH    posedge:CLK        O[26]        609.507:1065.67:1641.86     673.925:1178.3:1815.39
IOPATH    posedge:CLK        O[27]        566.18:989.917:1525.15      603.521:1055.2:1625.74
IOPATH    posedge:CLK        O[28]        556.046:972.197:1497.85     592.441:1035.83:1595.89
IOPATH    posedge:CLK        O[29]        554.749:969.929:1494.36     588.854:1029.56:1586.23
IOPATH    posedge:CLK        O[30]        538.732:941.926:1451.21     561.968:982.551:1513.81
IOPATH    posedge:CLK        O[31]        529.07:925.033:1425.19      550.159:961.905:1482
IOPATH    posedge:CLK        SIGNEXTOUT   2421.57:4233.9:6523.12      2488.9:4351.62:6704.49

CELL SB_MAC16_MUL_S_8X8_ALL_PIPELINE
HOLD      negedge:A[0]       posedge:CLK  110.748:193.633:298.328
HOLD      negedge:A[1]       posedge:CLK  109.024:190.62:293.686
HOLD      negedge:A[2]       posedge:CLK  106.197:185.676:286.069
HOLD      negedge:A[3]       posedge:CLK  106.3:185.856:286.347
HOLD      negedge:A[4]       posedge:CLK  107.158:187.357:288.658
HOLD      negedge:A[5]       posedge:CLK  90.4062:158.067:243.533
HOLD      negedge:A[6]       posedge:CLK  108.701:190.055:292.816
HOLD      negedge:A[7]       posedge:CLK  103.638:181.201:279.175
HOLD      negedge:A[8]       posedge:CLK  88.5624:154.844:238.566
HOLD      negedge:A[9]       posedge:CLK  82.9013:144.946:223.316
HOLD      negedge:A[10]      posedge:CLK  87.3323:152.693:235.252
HOLD      negedge:A[11]      posedge:CLK  85.0878:148.769:229.206
HOLD      negedge:A[12]      posedge:CLK  84.4245:147.609:227.419
HOLD      negedge:A[13]      posedge:CLK  84.4668:147.683:227.533
HOLD      negedge:A[14]      posedge:CLK  82.0173:143.4:220.935
HOLD      negedge:A[15]      posedge:CLK  89.7533:156.926:241.774
HOLD      negedge:ADDSUBBOT  posedge:CLK  33.9157:59.2987:91.3608
HOLD      negedge:ADDSUBTOP  posedge:CLK  31.6653:55.3641:85.2988
HOLD      negedge:AHOLD      posedge:CLK  99.8238:174.533:268.901
HOLD      negedge:B[0]       posedge:CLK  97.9923:171.331:263.968
HOLD      negedge:B[1]       posedge:CLK  100.797:176.234:271.522
HOLD      negedge:B[2]       posedge:CLK  95.8668:167.615:258.242
HOLD      negedge:B[3]       posedge:CLK  98.2086:171.709:264.55
HOLD      negedge:B[4]       posedge:CLK  99.1251:173.312:267.019
HOLD      negedge:B[5]       posedge:CLK  92.5696:161.85:249.36
HOLD      negedge:B[6]       posedge:CLK  90.3049:157.89:243.26
HOLD      negedge:B[7]       posedge:CLK  90.4092:158.073:243.541
HOLD      negedge:B[8]       posedge:CLK  89.4381:156.375:240.925
HOLD      negedge:B[9]       posedge:CLK  95.4897:166.955:257.226
HOLD      negedge:B[10]      posedge:CLK  82.0453:143.449:221.01
HOLD      negedge:B[11]      posedge:CLK  87.8741:153.64:236.712
HOLD      negedge:B[12]      posedge:CLK  92.8081:162.267:250.003
HOLD      negedge:B[13]      posedge:CLK  87.9365:153.749:236.88
HOLD      negedge:B[14]      posedge:CLK  83.4648:145.931:224.834
HOLD      negedge:B[15]      posedge:CLK  96.708:169.086:260.508
HOLD      negedge:BHOLD      posedge:CLK  87.0968:152.281:234.618
HOLD      negedge:C[0]       posedge:CLK  117.028:204.613:315.245
HOLD      negedge:C[1]       posedge:CLK  118.587:207.339:319.445
HOLD      negedge:C[2]       posedge:CLK  116.098:202.987:312.739
HOLD      negedge:C[3]       posedge:CLK  114.747:200.625:309.101
HOLD      negedge:C[4]       posedge:CLK  115.462:201.875:311.027
HOLD      negedge:C[5]       posedge:CLK  116.186:203.141:312.978
HOLD      negedge:C[6]       posedge:CLK  115.685:202.265:311.628
HOLD      negedge:C[7]       posedge:CLK  118.049:206.399:317.996
HOLD      negedge:C[8]       posedge:CLK  116.568:203.809:314.005
HOLD      negedge:C[9]       posedge:CLK  115.634:202.176:311.49
HOLD      negedge:C[10]      posedge:CLK  114.576:200.327:308.641
HOLD      negedge:C[11]      posedge:CLK  116.01:202.834:312.504
HOLD      negedge:C[12]      posedge:CLK  117.593:205.602:316.768
HOLD      negedge:C[13]      posedge:CLK  116.004:202.823:312.488
HOLD      negedge:C[14]      posedge:CLK  115.659:202.22:311.558
HOLD      negedge:C[15]      posedge:CLK  115.814:202.49:311.974
HOLD      negedge:CHOLD      posedge:CLK  105.921:185.194:285.326
HOLD      negedge:D[0]       posedge:CLK  93.8499:164.088:252.809
HOLD      negedge:D[1]       posedge:CLK  91.1157:159.308:245.444
HOLD      negedge:D[2]       posedge:CLK  90.5237:158.273:243.849
HOLD      negedge:D[3]       posedge:CLK  88.619:154.943:238.718
HOLD      negedge:D[4]       posedge:CLK  83.8163:146.545:225.781
HOLD      negedge:D[5]       posedge:CLK  91.8198:160.539:247.34
HOLD      negedge:D[6]       posedge:CLK  91.8916:160.664:247.534
HOLD      negedge:D[7]       posedge:CLK  89.0959:155.776:240.003
HOLD      negedge:D[8]       posedge:CLK  122.3:213.831:329.447
HOLD      negedge:D[9]       posedge:CLK  84.8773:148.401:228.639
HOLD      negedge:D[10]      posedge:CLK  124.376:217.46:335.038
HOLD      negedge:D[11]      posedge:CLK  125.995:220.291:339.401
HOLD      negedge:D[12]      posedge:CLK  124.859:218.305:336.34
HOLD      negedge:D[13]      posedge:CLK  122.319:213.865:329.499
HOLD      negedge:D[14]      posedge:CLK  87.406:152.822:235.451
HOLD      negedge:D[15]      posedge:CLK  127.656:223.195:343.873
HOLD      negedge:DHOLD      posedge:CLK  115.051:201.157:309.921
HOLD      negedge:OHOLDBOT   posedge:CLK  73.5024:128.513:197.998
HOLD      negedge:OHOLDTOP   posedge:CLK  77.3316:135.208:208.313
HOLD      negedge:OLOADBOT   posedge:CLK  95.6042:167.156:257.535
HOLD      negedge:OLOADTOP   posedge:CLK  87.8451:153.589:236.634
HOLD      posedge:A[0]       posedge:CLK  85.7097:149.856:230.881
HOLD      posedge:A[1]       posedge:CLK  84.2347:147.277:226.908
HOLD      posedge:A[2]       posedge:CLK  80.3687:140.518:216.494
HOLD      posedge:A[3]       posedge:CLK  80.0702:139.996:215.69
HOLD      posedge:A[4]       posedge:CLK  81.8516:143.11:220.488
HOLD      posedge:A[5]       posedge:CLK  69.012:120.661:185.902
HOLD      posedge:A[6]       posedge:CLK  82.5748:144.375:222.437
HOLD      posedge:A[7]       posedge:CLK  78.31:136.918:210.948
HOLD      posedge:A[8]       posedge:CLK  67.9357:118.78:183.002
HOLD      posedge:A[9]       posedge:CLK  63.8627:111.658:172.031
HOLD      posedge:A[10]      posedge:CLK  67.2537:117.587:181.165
HOLD      posedge:A[11]      posedge:CLK  65.436:114.409:176.269
HOLD      posedge:A[12]      posedge:CLK  65.4616:114.454:176.338
HOLD      posedge:A[13]      posedge:CLK  64.7713:113.247:174.478
HOLD      posedge:A[14]      posedge:CLK  61.6364:107.766:166.034
HOLD      posedge:A[15]      posedge:CLK  68.3521:119.508:184.124
HOLD      posedge:ADDSUBBOT  posedge:CLK  28.5314:49.8847:76.8567
HOLD      posedge:ADDSUBTOP  posedge:CLK  25.5528:44.6769:68.8332
HOLD      posedge:AHOLD      posedge:CLK  80.3569:140.497:216.462
HOLD      posedge:B[0]       posedge:CLK  78.2879:136.88:210.889
HOLD      posedge:B[1]       posedge:CLK  79.4822:138.968:214.106
HOLD      posedge:B[2]       posedge:CLK  75.7681:132.474:204.101
HOLD      posedge:B[3]       posedge:CLK  77.8159:136.054:209.617
HOLD      posedge:B[4]       posedge:CLK  79.2472:138.557:213.473
HOLD      posedge:B[5]       posedge:CLK  71.6174:125.217:192.92
HOLD      posedge:B[6]       posedge:CLK  69.5818:121.658:187.437
HOLD      posedge:B[7]       posedge:CLK  69.9963:122.382:188.553
HOLD      posedge:B[8]       posedge:CLK  68.4534:119.685:184.397
HOLD      posedge:B[9]       posedge:CLK  74.2945:129.897:200.132
HOLD      posedge:B[10]      posedge:CLK  63.8233:111.59:171.925
HOLD      posedge:B[11]      posedge:CLK  66.9735:117.097:180.41
HOLD      posedge:B[12]      posedge:CLK  71.9478:125.794:193.81
HOLD      posedge:B[13]      posedge:CLK  67.62:118.228:182.152
HOLD      posedge:B[14]      posedge:CLK  63.871:111.673:172.053
HOLD      posedge:B[15]      posedge:CLK  75.6609:132.286:203.812
HOLD      posedge:BHOLD      posedge:CLK  72.0648:125.999:194.125
HOLD      posedge:C[0]       posedge:CLK  96.5222:168.761:260.007
HOLD      posedge:C[1]       posedge:CLK  98.1496:171.606:264.391
HOLD      posedge:C[2]       posedge:CLK  95.3898:166.781:256.957
HOLD      posedge:C[3]       posedge:CLK  95.1755:166.406:256.38
HOLD      posedge:C[4]       posedge:CLK  95.2915:166.609:256.692
HOLD      posedge:C[5]       posedge:CLK  96.0452:167.927:258.723
HOLD      posedge:C[6]       posedge:CLK  93.3258:163.172:251.397
HOLD      posedge:C[7]       posedge:CLK  97.2051:169.955:261.847
HOLD      posedge:C[8]       posedge:CLK  95.3171:166.654:256.761
HOLD      posedge:C[9]       posedge:CLK  93.9104:164.194:252.972
HOLD      posedge:C[10]      posedge:CLK  92.6011:161.905:249.445
HOLD      posedge:C[11]      posedge:CLK  95.0496:166.186:256.041
HOLD      posedge:C[12]      posedge:CLK  97.0532:169.689:261.438
HOLD      posedge:C[13]      posedge:CLK  94.8544:165.845:255.515
HOLD      posedge:C[14]      posedge:CLK  93.6616:163.759:252.302
HOLD      posedge:C[15]      posedge:CLK  95.674:167.278:257.723
HOLD      posedge:CHOLD      posedge:CLK  90.7543:158.676:244.47
HOLD      posedge:D[0]       posedge:CLK  73.7198:128.893:198.583
HOLD      posedge:D[1]       posedge:CLK  70.7638:123.724:190.621
HOLD      posedge:D[2]       posedge:CLK  68.2548:119.338:183.862
HOLD      posedge:D[3]       posedge:CLK  67.0959:117.311:180.74
HOLD      posedge:D[4]       posedge:CLK  63.6748:111.33:171.525
HOLD      posedge:D[5]       posedge:CLK  70.8135:123.811:190.754
HOLD      posedge:D[6]       posedge:CLK  72.5363:126.823:195.395
HOLD      posedge:D[7]       posedge:CLK  69.0685:120.76:186.054
HOLD      posedge:D[8]       posedge:CLK  101.038:176.656:272.171
HOLD      posedge:D[9]       posedge:CLK  65.789:115.026:177.22
HOLD      posedge:D[10]      posedge:CLK  100.567:175.832:270.902
HOLD      posedge:D[11]      posedge:CLK  102.772:179.688:276.843
HOLD      posedge:D[12]      posedge:CLK  101.446:177.369:273.271
HOLD      posedge:D[13]      posedge:CLK  99.0125:173.115:266.716
HOLD      posedge:D[14]      posedge:CLK  68.1491:119.153:183.577
HOLD      posedge:D[15]      posedge:CLK  103.59:181.119:279.048
HOLD      posedge:DHOLD      posedge:CLK  96.4425:168.621:259.793
HOLD      posedge:OHOLDBOT   posedge:CLK  89.1033:155.789:240.023
HOLD      posedge:OHOLDTOP   posedge:CLK  91.8862:160.655:247.519
HOLD      posedge:OLOADBOT   posedge:CLK  84.4948:147.732:227.609
HOLD      posedge:OLOADTOP   posedge:CLK  80.3643:140.51:216.482
RECOVERY  negedge:IRSTBOT    posedge:CLK  392.14:685.622:1056.33
RECOVERY  negedge:IRSTTOP    posedge:CLK  417.485:729.935:1124.6
RECOVERY  negedge:ORSTBOT    posedge:CLK  302.84:529.489:815.777
RECOVERY  negedge:ORSTTOP    posedge:CLK  349.829:611.646:942.355
RECOVERY  posedge:IRSTBOT    posedge:CLK  0:0:0
RECOVERY  posedge:IRSTTOP    posedge:CLK  0:0:0
RECOVERY  posedge:ORSTBOT    posedge:CLK  0:0:0
RECOVERY  posedge:ORSTTOP    posedge:CLK  0:0:0
REMOVAL   negedge:IRSTBOT    posedge:CLK  0:0:0
REMOVAL   negedge:IRSTTOP    posedge:CLK  0:0:0
REMOVAL   negedge:ORSTBOT    posedge:CLK  0:0:0
REMOVAL   negedge:ORSTTOP    posedge:CLK  0:0:0
REMOVAL   posedge:IRSTBOT    posedge:CLK  0:0:0
REMOVAL   posedge:IRSTTOP    posedge:CLK  0:0:0
REMOVAL   posedge:ORSTBOT    posedge:CLK  0:0:0
REMOVAL   posedge:ORSTTOP    posedge:CLK  0:0:0
SETUP     negedge:A[0]       posedge:CLK  -38.0905:-66.598:-102.607
SETUP     negedge:A[1]       posedge:CLK  -35.3401:-61.7891:-95.1978
SETUP     negedge:A[2]       posedge:CLK  -36.3771:-63.6021:-97.991
SETUP     negedge:A[3]       posedge:CLK  -31.7794:-55.5635:-85.6061
SETUP     negedge:A[4]       posedge:CLK  -33.0573:-57.7977:-89.0483
SETUP     negedge:A[5]       posedge:CLK  -24.3841:-42.6335:-65.685
SETUP     negedge:A[6]       posedge:CLK  -37.1962:-65.0343:-100.198
SETUP     negedge:A[7]       posedge:CLK  -30.6279:-53.5502:-82.5042
SETUP     negedge:A[8]       posedge:CLK  -23.3437:-40.8145:-62.8824
SETUP     negedge:A[9]       posedge:CLK  -7.81763:-13.6684:-21.0588
SETUP     negedge:A[10]      posedge:CLK  -20.4556:-35.7649:-55.1026
SETUP     negedge:A[11]      posedge:CLK  -20.6523:-36.1088:-55.6324
SETUP     negedge:A[12]      posedge:CLK  -18.7879:-32.849:-50.61
SETUP     negedge:A[13]      posedge:CLK  -19.3445:-33.8221:-52.1093
SETUP     negedge:A[14]      posedge:CLK  -11.0671:-19.3499:-29.8121
SETUP     negedge:A[15]      posedge:CLK  -20.8416:-36.4397:-56.1423
SETUP     negedge:ADDSUBBOT  posedge:CLK  674.108:1178.62:1815.89
SETUP     negedge:ADDSUBTOP  posedge:CLK  676.634:1183.04:1822.69
SETUP     negedge:AHOLD      posedge:CLK  140.197:245.122:377.657
SETUP     negedge:B[0]       posedge:CLK  -26.8051:-46.8664:-72.2066
SETUP     negedge:B[1]       posedge:CLK  -26.5755:-46.465:-71.588
SETUP     negedge:B[2]       posedge:CLK  -26.8351:-46.9189:-72.2874
SETUP     negedge:B[3]       posedge:CLK  -31.2808:-54.6918:-84.2631
SETUP     negedge:B[4]       posedge:CLK  -20.5245:-35.8853:-55.288
SETUP     negedge:B[5]       posedge:CLK  -23.0684:-40.3331:-62.1407
SETUP     negedge:B[6]       posedge:CLK  -21.2836:-37.2126:-57.333
SETUP     negedge:B[7]       posedge:CLK  -21.3225:-37.2805:-57.4376
SETUP     negedge:B[8]       posedge:CLK  -24.4294:-42.7126:-65.8068
SETUP     negedge:B[9]       posedge:CLK  -28.408:-49.6689:-76.5243
SETUP     negedge:B[10]      posedge:CLK  -9.44163:-16.5079:-25.4335
SETUP     negedge:B[11]      posedge:CLK  -20.5353:-35.9042:-55.3171
SETUP     negedge:B[12]      posedge:CLK  -21.4926:-37.5779:-57.8958
SETUP     negedge:B[13]      posedge:CLK  -6.6853:-11.6887:-18.0086
SETUP     negedge:B[14]      posedge:CLK  -10.8001:-18.8831:-29.0929
SETUP     negedge:B[15]      posedge:CLK  -13.8677:-24.2464:-37.3562
SETUP     negedge:BHOLD      posedge:CLK  130.002:227.298:350.195
SETUP     negedge:C[0]       posedge:CLK  84.4496:147.653:227.487
SETUP     negedge:C[1]       posedge:CLK  79.7467:139.43:214.818
SETUP     negedge:C[2]       posedge:CLK  73.4572:128.434:197.876
SETUP     negedge:C[3]       posedge:CLK  68.2735:119.37:183.912
SETUP     negedge:C[4]       posedge:CLK  72.7438:127.186:195.954
SETUP     negedge:C[5]       posedge:CLK  64.1823:112.217:172.892
SETUP     negedge:C[6]       posedge:CLK  79.5033:139.005:214.163
SETUP     negedge:C[7]       posedge:CLK  72.9587:127.562:196.533
SETUP     negedge:C[8]       posedge:CLK  71.6783:125.323:193.084
SETUP     negedge:C[9]       posedge:CLK  60.9952:106.645:164.306
SETUP     negedge:C[10]      posedge:CLK  66.9174:116.999:180.259
SETUP     negedge:C[11]      posedge:CLK  74.4661:130.198:200.594
SETUP     negedge:C[12]      posedge:CLK  68.1265:119.113:183.516
SETUP     negedge:C[13]      posedge:CLK  75.1638:131.417:202.473
SETUP     negedge:C[14]      posedge:CLK  69.8645:122.152:188.198
SETUP     negedge:C[15]      posedge:CLK  67.4632:117.954:181.73
SETUP     negedge:CHOLD      posedge:CLK  96.6436:168.973:260.335
SETUP     negedge:D[0]       posedge:CLK  107.995:188.821:290.914
SETUP     negedge:D[1]       posedge:CLK  92.632:161.959:249.528
SETUP     negedge:D[2]       posedge:CLK  85.668:149.783:230.769
SETUP     negedge:D[3]       posedge:CLK  66.4297:116.147:178.946
SETUP     negedge:D[4]       posedge:CLK  85.7058:149.849:230.871
SETUP     negedge:D[5]       posedge:CLK  94.1621:164.634:253.65
SETUP     negedge:D[6]       posedge:CLK  76.3679:133.523:205.717
SETUP     negedge:D[7]       posedge:CLK  73.6234:128.724:198.324
SETUP     negedge:D[8]       posedge:CLK  70.4904:123.246:189.884
SETUP     negedge:D[9]       posedge:CLK  72.35:126.498:194.893
SETUP     negedge:D[10]      posedge:CLK  81.7493:142.932:220.213
SETUP     negedge:D[11]      posedge:CLK  70.5111:123.282:189.94
SETUP     negedge:D[12]      posedge:CLK  69.6349:121.751:187.58
SETUP     negedge:D[13]      posedge:CLK  54.0483:94.4988:145.593
SETUP     negedge:D[14]      posedge:CLK  83.9663:146.808:226.185
SETUP     negedge:D[15]      posedge:CLK  52.9381:92.5577:142.603
SETUP     negedge:DHOLD      posedge:CLK  118.155:206.583:318.281
SETUP     negedge:OHOLDBOT   posedge:CLK  153.917:269.111:414.616
SETUP     negedge:OHOLDTOP   posedge:CLK  108.687:190.029:292.776
SETUP     negedge:OLOADBOT   posedge:CLK  168.613:294.804:454.202
SETUP     negedge:OLOADTOP   posedge:CLK  136.972:239.484:368.97
SETUP     posedge:A[0]       posedge:CLK  -25.8188:-45.142:-69.5497
SETUP     posedge:A[1]       posedge:CLK  -21.4842:-37.5633:-57.8733
SETUP     posedge:A[2]       posedge:CLK  -27.8263:-48.6519:-74.9575
SETUP     posedge:A[3]       posedge:CLK  -15.2119:-26.5967:-40.9773
SETUP     posedge:A[4]       posedge:CLK  -17.8208:-31.158:-48.0048
SETUP     posedge:A[5]       posedge:CLK  -12.7831:-22.3501:-34.4345
SETUP     posedge:A[6]       posedge:CLK  -27.0839:-47.3538:-72.9575
SETUP     posedge:A[7]       posedge:CLK  -19.6154:-34.2958:-52.8391
SETUP     posedge:A[8]       posedge:CLK  -19.8838:-34.7651:-53.5622
SETUP     posedge:A[9]       posedge:CLK  5.23535:9.15356:14.1028
SETUP     posedge:A[10]      posedge:CLK  -10.9393:-19.1264:-29.4678
SETUP     posedge:A[11]      posedge:CLK  -14.8432:-25.952:-39.9839
SETUP     posedge:A[12]      posedge:CLK  -9.95494:-17.4053:-26.8162
SETUP     posedge:A[13]      posedge:CLK  -13.6037:-23.7848:-36.645
SETUP     posedge:A[14]      posedge:CLK  -3.18064:-5.56108:-8.56789
SETUP     posedge:A[15]      posedge:CLK  -11.4329:-19.9895:-30.7975
SETUP     posedge:ADDSUBBOT  posedge:CLK  694.807:1214.81:1871.64
SETUP     posedge:ADDSUBTOP  posedge:CLK  695.197:1215.49:1872.69
SETUP     posedge:AHOLD      posedge:CLK  112.255:196.268:302.387
SETUP     posedge:B[0]       posedge:CLK  -18.1649:-31.7598:-48.9319
SETUP     posedge:B[1]       posedge:CLK  -20.1946:-35.3084:-54.3993
SETUP     posedge:B[2]       posedge:CLK  -19.0839:-33.3665:-51.4073
SETUP     posedge:B[3]       posedge:CLK  -23.8044:-41.62:-64.1234
SETUP     posedge:B[4]       posedge:CLK  -10.365:-18.1223:-27.9208
SETUP     posedge:B[5]       posedge:CLK  -12.6031:-22.0354:-33.9497
SETUP     posedge:B[6]       posedge:CLK  -9.62109:-16.8216:-25.9169
SETUP     posedge:B[7]       posedge:CLK  -11.724:-20.4984:-31.5816
SETUP     posedge:B[8]       posedge:CLK  -18.3754:-32.1277:-49.4988
SETUP     posedge:B[9]       posedge:CLK  -21.528:-37.6398:-57.9912
SETUP     posedge:B[10]      posedge:CLK  0.92189:1.61184:2.48335
SETUP     posedge:B[11]      posedge:CLK  -10.1433:-17.7346:-27.3235
SETUP     posedge:B[12]      posedge:CLK  -8.14017:-14.2324:-21.9277
SETUP     posedge:B[13]      posedge:CLK  2.41363:4.22002:6.50174
SETUP     posedge:B[14]      posedge:CLK  -3.28586:-5.74504:-8.85132
SETUP     posedge:B[15]      posedge:CLK  -5.12473:-8.96014:-13.8048
SETUP     posedge:BHOLD      posedge:CLK  108.714:190.076:292.849
SETUP     posedge:C[0]       posedge:CLK  74.8147:130.807:201.533
SETUP     posedge:C[1]       posedge:CLK  78.3553:136.997:211.07
SETUP     posedge:C[2]       posedge:CLK  68.9913:120.625:185.846
SETUP     posedge:C[3]       posedge:CLK  72.2742:126.365:194.689
SETUP     posedge:C[4]       posedge:CLK  71.8135:125.56:193.448
SETUP     posedge:C[5]       posedge:CLK  60.42:105.639:162.757
SETUP     posedge:C[6]       posedge:CLK  72.9001:127.46:196.375
SETUP     posedge:C[7]       posedge:CLK  68.2204:119.277:183.769
SETUP     posedge:C[8]       posedge:CLK  65.6868:114.848:176.944
SETUP     posedge:C[9]       posedge:CLK  50.5943:88.4598:136.289
SETUP     posedge:C[10]      posedge:CLK  60.2041:105.262:162.175
SETUP     posedge:C[11]      posedge:CLK  64.0185:111.931:172.45
SETUP     posedge:C[12]      posedge:CLK  58.8092:102.823:158.418
SETUP     posedge:C[13]      posedge:CLK  70.2185:122.771:189.152
SETUP     posedge:C[14]      posedge:CLK  61.7081:107.891:166.227
SETUP     posedge:C[15]      posedge:CLK  65.349:114.257:176.034
SETUP     posedge:CHOLD      posedge:CLK  75.0689:131.251:202.218
SETUP     posedge:D[0]       posedge:CLK  95.1996:166.448:256.445
SETUP     posedge:D[1]       posedge:CLK  93.8966:164.17:252.935
SETUP     posedge:D[2]       posedge:CLK  80.0063:139.884:215.518
SETUP     posedge:D[3]       posedge:CLK  62.8906:109.959:169.412
SETUP     posedge:D[4]       posedge:CLK  82.0787:143.507:221.1
SETUP     posedge:D[5]       posedge:CLK  92.4752:161.685:249.106
SETUP     posedge:D[6]       posedge:CLK  64.8608:113.403:174.719
SETUP     posedge:D[7]       posedge:CLK  75.3492:131.741:202.973
SETUP     posedge:D[8]       posedge:CLK  63.8853:111.698:172.092
SETUP     posedge:D[9]       posedge:CLK  69.2937:121.154:186.661
SETUP     posedge:D[10]      posedge:CLK  73.2659:128.099:197.361
SETUP     posedge:D[11]      posedge:CLK  62.3434:109.002:167.938
SETUP     posedge:D[12]      posedge:CLK  65.0107:113.666:175.123
SETUP     posedge:D[13]      posedge:CLK  51.7753:90.5246:139.47
SETUP     posedge:D[14]      posedge:CLK  78.369:137.021:211.107
SETUP     posedge:D[15]      posedge:CLK  56.8509:99.3988:153.143
SETUP     posedge:DHOLD      posedge:CLK  95.2704:166.572:256.635
SETUP     posedge:OHOLDBOT   posedge:CLK  125.271:219.026:337.451
SETUP     posedge:OHOLDTOP   posedge:CLK  78.7516:137.69:212.138
SETUP     posedge:OLOADBOT   posedge:CLK  162.999:284.99:439.08
SETUP     posedge:OLOADTOP   posedge:CLK  145.363:254.155:391.573
IOPATH    ADDSUBTOP          ACCUMCO      787.304:1376.53:2120.81     929.697:1625.49:2504.38
IOPATH    ADDSUBTOP          CO           225.799:394.79:608.248      220.814:386.074:594.82
IOPATH    posedge:CLK        ACCUMCO      1164.12:2035.36:3135.86     1305.32:2282.23:3516.2
IOPATH    posedge:CLK        CO           1445.56:2527.43:3893.98     1573.76:2751.59:4239.34
IOPATH    posedge:CLK        O[0]         579.857:1013.83:1561.99     649.809:1136.13:1750.43
IOPATH    posedge:CLK        O[1]         668.169:1168.23:1799.89     739.595:1293.12:1992.29
IOPATH    posedge:CLK        O[2]         663.695:1160.41:1787.83     734.566:1284.32:1978.74
IOPATH    posedge:CLK        O[3]         646.049:1129.56:1740.3      702.957:1229.06:1893.6
IOPATH    posedge:CLK        O[4]         642.582:1123.5:1730.96      698.152:1220.66:1880.65
IOPATH    posedge:CLK        O[5]         652.131:1140.19:1756.68     715.202:1250.47:1926.58
IOPATH    posedge:CLK        O[6]         642.892:1124.04:1731.8      706.011:1234.4:1901.82
IOPATH    posedge:CLK        O[7]         569.275:995.327:1533.49     622.419:1088.24:1676.65
IOPATH    posedge:CLK        O[8]         656.102:1147.14:1767.38     687.969:1202.85:1853.22
IOPATH    posedge:CLK        O[9]         620.098:1084.19:1670.4      666.842:1165.92:1796.31
IOPATH    posedge:CLK        O[10]        710.754:1242.69:1914.6      749.733:1310.84:2019.6
IOPATH    posedge:CLK        O[11]        700.495:1224.75:1886.97     737.003:1288.59:1985.31
IOPATH    posedge:CLK        O[12]        665.935:1164.33:1793.87     700.743:1225.19:1887.63
IOPATH    posedge:CLK        O[13]        634.211:1108.86:1708.41     669.464:1170.5:1803.37
IOPATH    posedge:CLK        O[14]        749.947:1311.22:2020.18     786.965:1375.94:2119.89
IOPATH    posedge:CLK        O[15]        667.199:1166.54:1797.27     705.277:1233.12:1899.85
IOPATH    posedge:CLK        O[16]        614.13:1073.75:1654.32      666.897:1166.01:1796.46
IOPATH    posedge:CLK        O[17]        615.695:1076.49:1658.53     686.943:1201.06:1850.46
IOPATH    posedge:CLK        O[18]        611.06:1068.39:1646.05      681.206:1191.03:1835.01
IOPATH    posedge:CLK        O[19]        687.925:1202.78:1853.1      745.936:1304.2:2009.37
IOPATH    posedge:CLK        O[20]        651.447:1139:1754.84        702.76:1228.72:1893.07
IOPATH    posedge:CLK        O[21]        599.683:1048.49:1615.4      651.257:1138.67:1754.33
IOPATH    posedge:CLK        O[22]        587.845:1027.8:1583.51      642.552:1123.45:1730.88
IOPATH    posedge:CLK        O[23]        572.713:1001.34:1542.75     626.092:1094.67:1686.54
IOPATH    posedge:CLK        O[24]        608.479:1063.87:1639.1      668.19:1168.27:1799.94
IOPATH    posedge:CLK        O[25]        601.08:1050.93:1619.16      648.404:1133.68:1746.64
IOPATH    posedge:CLK        O[26]        596.194:1042.39:1606        640.735:1120.27:1725.99
IOPATH    posedge:CLK        O[27]        603.404:1055:1625.43        649.484:1135.57:1749.55
IOPATH    posedge:CLK        O[28]        610.454:1067.32:1644.41     660.223:1154.34:1778.48
IOPATH    posedge:CLK        O[29]        643.811:1125.65:1734.27     686.522:1200.32:1849.33
IOPATH    posedge:CLK        O[30]        629.084:1099.9:1694.6       688.12:1203.12:1853.63
IOPATH    posedge:CLK        O[31]        628.71:1099.24:1693.59      682.716:1193.67:1839.07
IOPATH    posedge:CLK        SIGNEXTOUT   720.525:1259.78:1940.92     703.939:1230.78:1896.24

CELL SB_MAC16_MUL_S_8X8_BYPASS
IOPATH  A[0]       ACCUMCO  725.168:1267.89:1953.43  950.218:1661.37:2559.66
IOPATH  A[0]       CO       1006.6:1759.96:2711.55   1218.67:2130.73:3282.79
IOPATH  A[0]       O[0]     732.351:1280.45:1972.78  812.301:1420.24:2188.14
IOPATH  A[0]       O[1]     802.742:1403.52:2162.39  876.764:1532.94:2361.79
IOPATH  A[0]       O[2]     913.076:1596.43:2459.61  970.276:1696.44:2613.69
IOPATH  A[0]       O[3]     999.781:1748.03:2693.17  1056.72:1847.59:2846.56
IOPATH  A[0]       O[4]     1074.72:1879.05:2895.03  1130.5:1976.58:3045.29
IOPATH  A[0]       O[5]     1134.68:1983.89:3056.55  1196.58:2092.12:3223.3
IOPATH  A[0]       O[6]     1177.52:2058.79:3171.96  1241.72:2171.04:3344.9
IOPATH  A[0]       O[7]     1221.19:2135.14:3289.59  1272.68:2225.17:3428.3
IOPATH  A[0]       O[8]     1428.49:2497.6:3848.02   1460.31:2553.22:3933.72
IOPATH  A[0]       O[9]     1457.86:2548.93:3927.11  1500:2622.62:4040.64
IOPATH  A[0]       O[10]    1517.19:2652.68:4086.96  1555.79:2720.16:4190.92
IOPATH  A[0]       O[11]    1610.07:2815.06:4337.13  1645.1:2876.31:4431.5
IOPATH  A[0]       O[12]    1550.66:2711.19:4177.11  1585.89:2772.79:4272.01
IOPATH  A[0]       O[13]    1574.98:2753.71:4242.6   1610.15:2815.21:4337.37
IOPATH  A[0]       O[14]    1739.07:3040.62:4684.65  1774.7:3102.92:4780.63
IOPATH  A[0]       O[15]    1730.27:3025.22:4660.92  1735.33:3034.08:4674.57
IOPATH  A[1]       ACCUMCO  842.338:1472.76:2269.06  980.093:1713.61:2640.13
IOPATH  A[1]       CO       1123.77:1964.82:3027.18  1248.54:2182.96:3363.27
IOPATH  A[1]       O[1]     787.147:1376.26:2120.38  862.218:1507.51:2322.61
IOPATH  A[1]       O[2]     973.76:1702.53:2623.07   1030.95:1802.53:2777.14
IOPATH  A[1]       O[3]     1060.46:1854.13:2856.63  1117.4:1953.68:3010.02
IOPATH  A[1]       O[4]     1144.01:2000.21:3081.7   1187.66:2076.53:3199.28
IOPATH  A[1]       O[5]     1262.04:2206.56:3399.63  1323.93:2314.78:3566.36
IOPATH  A[1]       O[6]     1304.87:2281.46:3515.02  1369.08:2393.71:3687.96
IOPATH  A[1]       O[7]     1348.54:2357.81:3632.65  1400.04:2447.84:3771.36
IOPATH  A[1]       O[8]     1543.88:2699.34:4158.84  1575.69:2754.96:4244.53
IOPATH  A[1]       O[9]     1573.24:2750.67:4237.93  1615.39:2824.36:4351.46
IOPATH  A[1]       O[10]    1632.58:2854.42:4397.77  1671.17:2921.9:4501.74
IOPATH  A[1]       O[11]    1725.45:3016.8:4647.95   1760.48:3078.05:4742.32
IOPATH  A[1]       O[12]    1666.05:2912.93:4487.92  1701.28:2974.53:4582.83
IOPATH  A[1]       O[13]    1690.36:2955.45:4553.42  1725.54:3016.95:4648.19
IOPATH  A[1]       O[14]    1854.46:3242.36:4995.46  1890.09:3304.66:5091.44
IOPATH  A[1]       O[15]    1845.65:3226.96:4971.73  1850.72:3235.82:4985.39
IOPATH  A[2]       ACCUMCO  809.798:1415.86:2181.4   915.404:1600.5:2465.88
IOPATH  A[2]       CO       1091.23:1907.93:2939.52  1183.85:2069.86:3189.01
IOPATH  A[2]       O[2]     966.44:1689.74:2603.36   1023.63:1789.73:2757.42
IOPATH  A[2]       O[3]     1053.14:1841.33:2836.91  1110.09:1940.89:2990.3
IOPATH  A[2]       O[4]     1136.78:1987.57:3062.22  1180.35:2063.73:3179.57
IOPATH  A[2]       O[5]     1254.81:2193.92:3380.15  1316.7:2302.14:3546.88
IOPATH  A[2]       O[6]     1297.64:2268.82:3495.54  1361.84:2381.07:3668.48
IOPATH  A[2]       O[7]     1341.31:2345.17:3613.17  1392.8:2435.2:3751.88
IOPATH  A[2]       O[8]     1548.11:2706.73:4170.23  1579.92:2762.35:4255.92
IOPATH  A[2]       O[9]     1577.47:2758.07:4249.32  1619.61:2831.75:4362.85
IOPATH  A[2]       O[10]    1636.81:2861.82:4409.17  1675.4:2929.29:4513.13
IOPATH  A[2]       O[11]    1729.68:3024.19:4659.34  1764.71:3085.44:4753.71
IOPATH  A[2]       O[12]    1670.27:2920.33:4499.31  1705.51:2981.93:4594.22
IOPATH  A[2]       O[13]    1694.59:2962.84:4564.81  1729.77:3024.35:4659.58
IOPATH  A[2]       O[14]    1858.69:3249.75:5006.85  1894.32:3312.05:5102.83
IOPATH  A[2]       O[15]    1849.88:3234.35:4983.13  1854.95:3243.21:4996.78
IOPATH  A[3]       ACCUMCO  777.105:1358.7:2093.33   890.717:1557.34:2399.38
IOPATH  A[3]       CO       1058.54:1850.77:2851.46  1159.17:2026.7:3122.51
IOPATH  A[3]       O[3]     947.607:1656.81:2552.62  991.84:1734.15:2671.78
IOPATH  A[3]       O[4]     1148.3:2007.7:3093.24    1191.46:2083.16:3209.49
IOPATH  A[3]       O[5]     1266.32:2214.05:3411.17  1328.22:2322.27:3577.9
IOPATH  A[3]       O[6]     1309.16:2288.95:3526.56  1373.36:2401.2:3699.5
IOPATH  A[3]       O[7]     1352.83:2365.3:3644.19   1404.32:2455.33:3782.9
IOPATH  A[3]       O[8]     1574.97:2753.7:4242.6    1606.79:2809.32:4328.29
IOPATH  A[3]       O[9]     1604.34:2805.04:4321.69  1646.48:2878.73:4435.22
IOPATH  A[3]       O[10]    1663.67:2908.79:4481.54  1702.27:2976.27:4585.5
IOPATH  A[3]       O[11]    1756.54:3071.16:4731.71  1791.58:3132.42:4826.08
IOPATH  A[3]       O[12]    1697.14:2967.3:4571.69   1732.37:3028.9:4666.59
IOPATH  A[3]       O[13]    1721.46:3009.81:4637.19  1756.63:3071.32:4731.95
IOPATH  A[3]       O[14]    1885.55:3296.72:5079.22  1921.18:3359.02:5175.2
IOPATH  A[3]       O[15]    1876.74:3281.32:5055.5   1881.81:3290.19:5069.15
IOPATH  A[4]       ACCUMCO  788.202:1378.1:2123.23   985.703:1723.42:2655.25
IOPATH  A[4]       CO       1069.64:1870.17:2881.35  1254.15:2192.77:3378.38
IOPATH  A[4]       O[4]     1167.04:2040.46:3143.71  1210.19:2115.92:3259.97
IOPATH  A[4]       O[5]     1285.06:2246.81:3461.64  1346.95:2355.03:3628.37
IOPATH  A[4]       O[6]     1327.89:2321.71:3577.03  1392.1:2433.96:3749.97
IOPATH  A[4]       O[7]     1371.56:2398.06:3694.66  1423.06:2488.09:3833.37
IOPATH  A[4]       O[8]     1659.85:2902.11:4471.25  1691.67:2957.73:4556.95
IOPATH  A[4]       O[9]     1689.22:2953.45:4550.34  1731.36:3027.14:4663.87
IOPATH  A[4]       O[10]    1748.55:3057.2:4710.19   1787.15:3124.67:4814.15
IOPATH  A[4]       O[11]    1841.43:3219.57:4960.36  1876.46:3280.82:5054.73
IOPATH  A[4]       O[12]    1782.02:3115.71:4800.34  1817.25:3177.31:4895.24
IOPATH  A[4]       O[13]    1806.34:3158.22:4865.83  1841.52:3219.73:4960.6
IOPATH  A[4]       O[14]    1970.43:3445.13:5307.87  2006.07:3507.43:5403.86
IOPATH  A[4]       O[15]    1961.63:3429.73:5284.15  1966.69:3438.59:5297.8
IOPATH  A[5]       ACCUMCO  757.401:1324.25:2040.26  903.555:1579.79:2433.96
IOPATH  A[5]       CO       1038.84:1816.32:2798.38  1172:2049.15:3157.09
IOPATH  A[5]       O[5]     1235.88:2160.83:3329.17  1285.4:2247.4:3462.55
IOPATH  A[5]       O[6]     1336.52:2336.8:3600.27   1405.02:2456.56:3784.79
IOPATH  A[5]       O[7]     1454.48:2543.03:3918.01  1493.47:2611.2:4023.05
IOPATH  A[5]       O[8]     1773.72:3101.19:4777.96  1805.53:3156.81:4863.66
IOPATH  A[5]       O[9]     1803.08:3152.52:4857.06  1845.22:3226.21:4970.59
IOPATH  A[5]       O[10]    1862.42:3256.27:5016.9   1901.01:3323.75:5120.86
IOPATH  A[5]       O[11]    1955.29:3418.65:5267.07  1990.32:3479.9:5361.44
IOPATH  A[5]       O[12]    1895.88:3314.79:5107.05  1931.11:3376.38:5201.96
IOPATH  A[5]       O[13]    1920.2:3357.3:5172.55    1955.38:3418.8:5267.31
IOPATH  A[5]       O[14]    2084.29:3644.21:5614.59  2119.93:3706.51:5710.57
IOPATH  A[5]       O[15]    2075.49:3628.81:5590.86  2080.56:3637.67:5604.51
IOPATH  A[6]       ACCUMCO  829.265:1449.9:2233.84   952.919:1666.1:2566.94
IOPATH  A[6]       CO       1110.7:1941.96:2991.96   1221.37:2135.45:3290.07
IOPATH  A[6]       O[6]     1253.08:2190.9:3375.49   1321.58:2310.66:3560.01
IOPATH  A[6]       O[7]     1340.56:2343.86:3611.16  1379.56:2412.04:3716.2
IOPATH  A[6]       O[8]     1659.8:2902.02:4471.11   1691.62:2957.64:4556.8
IOPATH  A[6]       O[9]     1689.16:2953.36:4550.2   1731.31:3027.04:4663.73
IOPATH  A[6]       O[10]    1748.5:3057.1:4710.04    1787.1:3124.58:4814.01
IOPATH  A[6]       O[11]    1841.37:3219.48:4960.22  1876.41:3280.73:5054.59
IOPATH  A[6]       O[12]    1781.97:3115.62:4800.19  1817.2:3177.22:4895.1
IOPATH  A[6]       O[13]    1806.28:3158.13:4865.69  1841.46:3219.64:4960.46
IOPATH  A[6]       O[14]    1970.38:3445.04:5307.73  2006.01:3507.34:5403.71
IOPATH  A[6]       O[15]    1961.57:3429.64:5284.01  1966.64:3438.5:5297.66
IOPATH  A[7]       ACCUMCO  791.512:1383.89:2132.14  912.494:1595.42:2458.04
IOPATH  A[7]       CO       1072.95:1875.96:2890.27  1180.94:2064.77:3181.17
IOPATH  A[7]       O[7]     1363.24:2383.51:3672.25  1402.24:2451.69:3777.29
IOPATH  A[7]       O[8]     1682.48:2941.67:4532.2   1714.29:2997.29:4617.9
IOPATH  A[7]       O[9]     1711.84:2993.01:4611.29  1753.99:3066.7:4724.82
IOPATH  A[7]       O[10]    1771.18:3096.76:4771.14  1809.77:3164.23:4875.1
IOPATH  A[7]       O[11]    1864.05:3259.13:5021.31  1899.09:3320.38:5115.68
IOPATH  A[7]       O[12]    1804.65:3155.27:4861.29  1839.88:3216.87:4956.19
IOPATH  A[7]       O[13]    1828.96:3197.78:4926.78  1864.14:3259.29:5021.55
IOPATH  A[7]       O[14]    1993.06:3484.69:5368.82  2028.69:3546.99:5464.81
IOPATH  A[7]       O[15]    1984.25:3469.29:5345.1   1989.32:3478.15:5358.75
IOPATH  A[8]       ACCUMCO  772.671:1350.95:2081.39  900.977:1575.28:2427.01
IOPATH  A[8]       CO       1054.11:1843.02:2839.51  1169.42:2044.64:3150.15
IOPATH  A[8]       O[16]    816.475:1427.53:2199.39  889.607:1555.4:2396.39
IOPATH  A[8]       O[17]    894.291:1563.59:2409     966.838:1690.43:2604.43
IOPATH  A[8]       O[18]    948.816:1658.92:2555.88  1009.79:1765.53:2720.13
IOPATH  A[8]       O[19]    1114.61:1948.8:3002.5    1174.82:2054.07:3164.68
IOPATH  A[8]       O[20]    1157.14:2023.16:3117.05  1208.94:2113.72:3256.59
IOPATH  A[8]       O[21]    1155.33:2020:3112.19     1208.76:2113.42:3256.11
IOPATH  A[8]       O[22]    1189.21:2079.22:3203.44  1245.6:2177.82:3355.34
IOPATH  A[8]       O[23]    1222.96:2138.24:3294.36  1277.52:2233.62:3441.32
IOPATH  A[8]       O[24]    1398.36:2444.91:3766.85  1471.18:2572.22:3963
IOPATH  A[8]       O[25]    1442.61:2522.28:3886.04  1500.18:2622.93:4041.12
IOPATH  A[8]       O[26]    1500.46:2623.42:4041.87  1554.45:2717.82:4187.31
IOPATH  A[8]       O[27]    1553.24:2715.7:4184.05   1605.63:2807.3:4325.18
IOPATH  A[8]       O[28]    1522.56:2662.06:4101.4   1579.1:2760.92:4253.72
IOPATH  A[8]       O[29]    1593.68:2786.41:4292.99  1648.88:2882.92:4441.69
IOPATH  A[8]       O[30]    1640.35:2868.01:4418.72  1706.52:2983.71:4596.97
IOPATH  A[8]       O[31]    1679.09:2935.74:4523.06  1740.8:3043.64:4689.3
IOPATH  A[9]       ACCUMCO  830.296:1451.7:2236.62   957.035:1673.29:2578.02
IOPATH  A[9]       CO       1111.73:1943.77:2994.74  1225.48:2142.65:3301.16
IOPATH  A[9]       O[17]    844.477:1476.49:2274.82  927.081:1620.92:2497.33
IOPATH  A[9]       O[18]    1039.75:1817.92:2800.84  1096.17:1916.55:2952.81
IOPATH  A[9]       O[19]    1205.56:2107.81:3247.48  1265.76:2213.08:3409.66
IOPATH  A[9]       O[20]    1251.07:2187.38:3370.07  1294.37:2263.08:3486.71
IOPATH  A[9]       O[21]    1301.81:2276.1:3506.76   1355.24:2369.51:3650.68
IOPATH  A[9]       O[22]    1335.68:2335.32:3598     1392.07:2433.91:3749.9
IOPATH  A[9]       O[23]    1369.43:2394.33:3688.92  1423.99:2489.72:3835.88
IOPATH  A[9]       O[24]    1547.51:2705.68:4168.61  1620.32:2832.99:4364.75
IOPATH  A[9]       O[25]    1591.75:2783.04:4287.8   1649.32:2883.69:4442.87
IOPATH  A[9]       O[26]    1649.6:2884.18:4443.63   1703.59:2978.58:4589.07
IOPATH  A[9]       O[27]    1702.38:2976.47:4585.81  1754.77:3068.07:4726.93
IOPATH  A[9]       O[28]    1671.7:2922.82:4503.15   1728.25:3021.69:4655.48
IOPATH  A[9]       O[29]    1742.82:3047.17:4694.75  1798.02:3143.69:4843.44
IOPATH  A[9]       O[30]    1789.5:3128.78:4820.47   1855.67:3244.47:4998.72
IOPATH  A[9]       O[31]    1828.23:3196.5:4924.81   1889.94:3304.4:5091.05
IOPATH  A[10]      ACCUMCO  775.035:1355.08:2087.76  850.37:1486.8:2290.69
IOPATH  A[10]      CO       1056.47:1847.15:2845.88  1118.82:1956.16:3013.83
IOPATH  A[10]      O[18]    969.844:1695.69:2612.53  1026.39:1794.55:2764.85
IOPATH  A[10]      O[19]    1135.68:1985.64:3059.25  1195.89:2090.9:3221.43
IOPATH  A[10]      O[20]    1182.91:2068.21:3186.47  1228.24:2147.47:3308.59
IOPATH  A[10]      O[21]    1233.65:2156.93:3323.16  1287.08:2250.34:3467.08
IOPATH  A[10]      O[22]    1267.52:2216.15:3414.39  1323.91:2314.74:3566.29
IOPATH  A[10]      O[23]    1301.27:2275.16:3505.32  1355.83:2370.55:3652.28
IOPATH  A[10]      O[24]    1491.55:2607.85:4017.89  1564.37:2735.16:4214.04
IOPATH  A[10]      O[25]    1535.8:2685.21:4137.08   1593.37:2785.87:4292.15
IOPATH  A[10]      O[26]    1593.65:2786.36:4292.91  1647.64:2880.76:4438.35
IOPATH  A[10]      O[27]    1646.43:2878.64:4435.09  1698.82:2970.24:4576.21
IOPATH  A[10]      O[28]    1615.75:2824.99:4352.44  1672.3:2923.86:4504.76
IOPATH  A[10]      O[29]    1686.87:2949.35:4544.03  1742.07:3045.86:4692.72
IOPATH  A[10]      O[30]    1733.55:3030.95:4669.75  1799.72:3146.65:4848
IOPATH  A[10]      O[31]    1772.28:3098.68:4774.09  1833.99:3206.57:4940.33
IOPATH  A[11]      ACCUMCO  704.52:1231.79:1897.81   783.813:1370.43:2111.4
IOPATH  A[11]      CO       985.956:1723.86:2655.93  1052.26:1839.79:2834.54
IOPATH  A[11]      O[19]    1029.74:1800.4:2773.86   1077.46:1883.85:2902.43
IOPATH  A[11]      O[20]    1186.16:2073.9:3195.23   1225.64:2142.92:3301.58
IOPATH  A[11]      O[21]    1236.91:2162.62:3331.92  1290.33:2256.03:3475.84
IOPATH  A[11]      O[22]    1270.77:2221.84:3423.16  1327.16:2320.43:3575.06
IOPATH  A[11]      O[23]    1304.53:2280.85:3514.08  1359.08:2376.24:3661.04
IOPATH  A[11]      O[24]    1515.37:2649.49:4082.04  1588.19:2776.8:4278.19
IOPATH  A[11]      O[25]    1559.62:2726.85:4201.23  1617.18:2827.51:4356.31
IOPATH  A[11]      O[26]    1617.47:2828:4357.06     1671.46:2922.4:4502.5
IOPATH  A[11]      O[27]    1670.25:2920.28:4499.24  1722.64:3011.88:4640.37
IOPATH  A[11]      O[28]    1639.56:2866.63:4416.59  1696.11:2965.5:4568.92
IOPATH  A[11]      O[29]    1710.69:2990.99:4608.18  1765.89:3087.5:4756.88
IOPATH  A[11]      O[30]    1757.36:3072.59:4733.91  1823.53:3188.29:4912.16
IOPATH  A[11]      O[31]    1796.1:3140.32:4838.25   1857.81:3248.21:5004.49
IOPATH  A[12]      ACCUMCO  636.382:1112.66:1714.26  799.041:1397.05:2152.42
IOPATH  A[12]      CO       917.819:1604.73:2472.38  1067.49:1866.41:2875.56
IOPATH  A[12]      O[20]    1206.82:2110.02:3250.88  1246.3:2179.04:3357.23
IOPATH  A[12]      O[21]    1257.56:2198.74:3387.57  1310.99:2292.15:3531.49
IOPATH  A[12]      O[22]    1291.43:2257.96:3478.81  1347.82:2356.55:3630.71
IOPATH  A[12]      O[23]    1325.19:2316.97:3569.73  1379.74:2412.36:3716.69
IOPATH  A[12]      O[24]    1579.45:2761.53:4254.66  1652.27:2888.84:4450.81
IOPATH  A[12]      O[25]    1623.7:2838.89:4373.85   1681.27:2939.54:4528.92
IOPATH  A[12]      O[26]    1681.55:2940.04:4529.68  1735.54:3034.44:4675.12
IOPATH  A[12]      O[27]    1734.33:3032.32:4671.86  1786.72:3123.92:4812.98
IOPATH  A[12]      O[28]    1703.64:2978.67:4589.21  1760.19:3077.54:4741.53
IOPATH  A[12]      O[29]    1774.77:3103.03:4780.8   1829.97:3199.54:4929.5
IOPATH  A[12]      O[30]    1821.44:3184.63:4906.52  1887.61:3300.33:5084.78
IOPATH  A[12]      O[31]    1860.18:3252.35:5010.87  1921.89:3360.25:5177.1
IOPATH  A[13]      ACCUMCO  680.521:1189.83:1833.16  812.816:1421.14:2189.53
IOPATH  A[13]      CO       961.957:1681.9:2591.28   1081.26:1890.5:2912.66
IOPATH  A[13]      O[21]    1129.8:1975.35:3043.4    1172.29:2049.65:3157.87
IOPATH  A[13]      O[22]    1223.77:2139.65:3296.54  1285.52:2247.61:3462.87
IOPATH  A[13]      O[23]    1325.41:2317.36:3570.32  1366.94:2389.98:3682.22
IOPATH  A[13]      O[24]    1610.32:2815.51:4337.83  1683.14:2942.82:4533.98
IOPATH  A[13]      O[25]    1654.57:2892.87:4457.02  1712.14:2993.53:4612.09
IOPATH  A[13]      O[26]    1712.42:2994.02:4612.85  1766.41:3088.42:4758.29
IOPATH  A[13]      O[27]    1765.2:3086.3:4755.03    1817.59:3177.9:4896.15
IOPATH  A[13]      O[28]    1734.52:3032.65:4672.38  1791.07:3131.52:4824.7
IOPATH  A[13]      O[29]    1805.64:3157.01:4863.97  1860.84:3253.52:5012.66
IOPATH  A[13]      O[30]    1852.32:3238.61:4989.69  1918.49:3354.31:5167.94
IOPATH  A[13]      O[31]    1891.05:3306.34:5094.03  1952.76:3414.23:5260.27
IOPATH  A[14]      ACCUMCO  682.587:1193.44:1838.73  793.555:1387.46:2137.65
IOPATH  A[14]      CO       964.024:1685.51:2596.85  1062:1856.82:2860.78
IOPATH  A[14]      O[22]    1254.66:2193.67:3379.76  1316.41:2301.63:3546.09
IOPATH  A[14]      O[23]    1344.44:2350.64:3621.61  1385.98:2423.27:3733.5
IOPATH  A[14]      O[24]    1629.36:2848.8:4389.11   1702.18:2976.11:4585.26
IOPATH  A[14]      O[25]    1673.61:2926.16:4508.3   1731.18:3026.81:4663.38
IOPATH  A[14]      O[26]    1731.46:3027.3:4664.13   1785.45:3121.7:4809.57
IOPATH  A[14]      O[27]    1784.24:3119.59:4806.31  1836.63:3211.19:4947.44
IOPATH  A[14]      O[28]    1753.56:3065.94:4723.66  1810.1:3164.81:4875.98
IOPATH  A[14]      O[29]    1824.68:3190.29:4915.25  1879.88:3286.81:5063.95
IOPATH  A[14]      O[30]    1871.35:3271.9:5040.98   1937.53:3387.59:5219.23
IOPATH  A[14]      O[31]    1910.09:3339.62:5145.32  1971.8:3447.52:5311.56
IOPATH  A[15]      ACCUMCO  744.159:1301.1:2004.59   885.328:1547.92:2384.86
IOPATH  A[15]      CO       1025.6:1793.16:2762.71   1153.78:2017.28:3107.99
IOPATH  A[15]      O[23]    1351.43:2362.86:3640.44  1392.97:2435.49:3752.33
IOPATH  A[15]      O[24]    1636.35:2861.02:4407.94  1709.17:2988.33:4604.09
IOPATH  A[15]      O[25]    1680.6:2938.38:4527.13   1738.17:3039.03:4682.21
IOPATH  A[15]      O[26]    1738.45:3039.53:4682.96  1792.44:3133.93:4828.4
IOPATH  A[15]      O[27]    1791.23:3131.81:4825.14  1843.62:3223.41:4966.27
IOPATH  A[15]      O[28]    1760.55:3078.16:4742.49  1817.09:3177.03:4894.81
IOPATH  A[15]      O[29]    1831.67:3202.52:4934.08  1886.87:3299.03:5082.78
IOPATH  A[15]      O[30]    1878.34:3284.12:5059.81  1944.52:3399.82:5238.06
IOPATH  A[15]      O[31]    1917.08:3351.84:5164.15  1978.79:3459.74:5330.39
IOPATH  ADDSUBTOP  ACCUMCO  787.304:1376.53:2120.81  929.697:1625.49:2504.38
IOPATH  ADDSUBTOP  CO       225.799:394.79:608.248   220.814:386.074:594.82
IOPATH  B[0]       O[0]     797.723:1394.75:2148.87  889.662:1555.5:2396.53
IOPATH  B[0]       O[1]     868.031:1517.68:2338.27  949.483:1660.09:2557.68
IOPATH  B[0]       O[2]     1041.08:1820.24:2804.43  1098.28:1920.24:2958.49
IOPATH  B[0]       O[3]     1127.79:1971.85:3038.01  1184.74:2071.41:3191.4
IOPATH  B[0]       O[4]     1245.98:2178.49:3356.38  1289.14:2253.95:3472.63
IOPATH  B[0]       O[5]     1364.01:2384.84:3674.3   1425.9:2493.06:3841.04
IOPATH  B[0]       O[6]     1406.84:2459.74:3789.69  1471.04:2571.99:3962.63
IOPATH  B[0]       O[7]     1450.51:2536.09:3907.32  1502:2626.12:4046.03
IOPATH  B[0]       O[8]     1748.28:3056.71:4709.44  1780.09:3112.33:4795.14
IOPATH  B[0]       O[9]     1777.64:3108.05:4788.54  1819.79:3181.74:4902.07
IOPATH  B[0]       O[10]    1836.98:3211.8:4948.38   1875.57:3279.28:5052.34
IOPATH  B[0]       O[11]    1929.85:3374.17:5198.55  1964.88:3435.43:5292.92
IOPATH  B[0]       O[12]    1870.45:3270.31:5038.53  1905.68:3331.91:5133.43
IOPATH  B[0]       O[13]    1894.76:3312.82:5104.03  1929.94:3374.33:5198.79
IOPATH  B[0]       O[14]    2058.86:3599.73:5546.07  2094.49:3662.03:5642.05
IOPATH  B[0]       O[15]    2050.05:3584.33:5522.34  2055.12:3593.2:5535.99
IOPATH  B[1]       O[0]     843.234:1474.32:2271.47  915.124:1600.01:2465.12
IOPATH  B[1]       O[1]     913.577:1597.31:2460.96  987.599:1726.73:2660.35
IOPATH  B[1]       O[2]     1172.17:2049.43:3157.54  1229.37:2149.44:3311.62
IOPATH  B[1]       O[3]     1258.88:2201.04:3391.12  1315.82:2300.6:3544.51
IOPATH  B[1]       O[4]     1388.15:2427.06:3739.34  1431.31:2502.51:3855.6
IOPATH  B[1]       O[5]     1506.17:2633.41:4057.27  1568.07:2741.63:4224
IOPATH  B[1]       O[6]     1549.01:2708.31:4172.66  1613.21:2820.56:4345.6
IOPATH  B[1]       O[7]     1592.68:2784.66:4290.29  1644.17:2874.69:4429
IOPATH  B[1]       O[8]     1866.11:3262.73:5026.86  1897.93:3318.36:5112.55
IOPATH  B[1]       O[9]     1895.47:3314.07:5105.95  1937.62:3387.76:5219.48
IOPATH  B[1]       O[10]    1954.81:3417.82:5265.79  1993.41:3485.3:5369.75
IOPATH  B[1]       O[11]    2047.68:3580.19:5515.96  2082.72:3641.45:5610.33
IOPATH  B[1]       O[12]    1988.28:3476.33:5355.95  2023.51:3537.93:5450.85
IOPATH  B[1]       O[13]    2012.59:3518.84:5421.44  2047.77:3580.35:5516.21
IOPATH  B[1]       O[14]    2176.69:3805.76:5863.48  2212.32:3868.05:5959.46
IOPATH  B[1]       O[15]    2167.88:3790.35:5839.76  2172.95:3799.22:5853.41
IOPATH  B[2]       O[2]     1069.93:1870.67:2882.12  1127.13:1970.68:3036.2
IOPATH  B[2]       O[3]     1156.63:2022.27:3115.68  1213.57:2121.83:3269.07
IOPATH  B[2]       O[4]     1289.14:2253.94:3472.62  1332.29:2329.4:3588.88
IOPATH  B[2]       O[5]     1407.16:2460.3:3790.55   1469.06:2568.52:3957.28
IOPATH  B[2]       O[6]     1450:2535.19:3905.94     1514.2:2647.44:4078.88
IOPATH  B[2]       O[7]     1493.66:2611.54:4023.57  1545.16:2701.57:4162.28
IOPATH  B[2]       O[8]     1812.68:3169.32:4882.93  1844.5:3224.94:4968.63
IOPATH  B[2]       O[9]     1842.04:3220.65:4962.02  1884.19:3294.34:5075.55
IOPATH  B[2]       O[10]    1901.38:3324.4:5121.87   1939.98:3391.88:5225.83
IOPATH  B[2]       O[11]    1994.25:3486.78:5372.04  2029.29:3548.03:5466.41
IOPATH  B[2]       O[12]    1934.85:3382.91:5212.02  1970.08:3444.51:5306.92
IOPATH  B[2]       O[13]    1959.16:3425.43:5277.51  1994.34:3486.93:5372.28
IOPATH  B[2]       O[14]    2123.26:3712.34:5719.55  2158.89:3774.63:5815.54
IOPATH  B[2]       O[15]    2114.45:3696.94:5695.83  2119.52:3705.8:5709.48
IOPATH  B[3]       O[2]     963.83:1685.17:2596.33   1021.03:1785.18:2750.41
IOPATH  B[3]       O[3]     1125.6:1968.01:3032.08   1169.84:2045.36:3151.26
IOPATH  B[3]       O[4]     1324.75:2316.21:3568.56  1367.91:2391.67:3684.81
IOPATH  B[3]       O[5]     1442.77:2522.56:3886.49  1504.67:2630.78:4053.22
IOPATH  B[3]       O[6]     1485.61:2597.46:4001.88  1549.81:2709.71:4174.82
IOPATH  B[3]       O[7]     1529.28:2673.81:4119.51  1580.77:2763.84:4258.22
IOPATH  B[3]       O[8]     1848.3:3231.58:4978.87   1880.11:3287.21:5064.56
IOPATH  B[3]       O[9]     1877.66:3282.92:5057.96  1919.8:3356.61:5171.49
IOPATH  B[3]       O[10]    1937:3386.67:5217.8      1975.59:3454.15:5321.76
IOPATH  B[3]       O[11]    2029.87:3549.04:5467.97  2064.9:3610.3:5562.34
IOPATH  B[3]       O[12]    1970.46:3445.18:5307.95  2005.69:3506.78:5402.86
IOPATH  B[3]       O[13]    1994.78:3487.69:5373.45  2029.96:3549.2:5468.21
IOPATH  B[3]       O[14]    2158.88:3774.61:5815.49  2194.51:3836.9:5911.47
IOPATH  B[3]       O[15]    2150.07:3759.21:5791.76  2155.14:3768.07:5805.42
IOPATH  B[4]       O[4]     1162.5:2032.54:3131.5    1205.66:2107.99:3247.76
IOPATH  B[4]       O[5]     1280.53:2238.89:3449.43  1342.42:2347.11:3616.16
IOPATH  B[4]       O[6]     1325.72:2317.91:3571.17  1394.22:2437.67:3755.69
IOPATH  B[4]       O[7]     1422.87:2487.76:3832.87  1461.86:2555.94:3937.91
IOPATH  B[4]       O[8]     1742.11:3045.93:4692.82  1773.92:3101.55:4778.52
IOPATH  B[4]       O[9]     1771.47:3097.26:4771.91  1813.62:3170.95:4885.44
IOPATH  B[4]       O[10]    1830.81:3201.01:4931.76  1869.4:3268.49:5035.72
IOPATH  B[4]       O[11]    1923.68:3363.38:5181.93  1958.71:3424.64:5276.3
IOPATH  B[4]       O[12]    1864.28:3259.52:5021.91  1899.51:3321.12:5116.81
IOPATH  B[4]       O[13]    1888.59:3302.03:5087.4   1923.77:3363.54:5182.17
IOPATH  B[4]       O[14]    2052.69:3588.95:5529.45  2088.32:3651.24:5625.43
IOPATH  B[4]       O[15]    2043.88:3573.55:5505.72  2048.95:3582.41:5519.37
IOPATH  B[5]       O[4]     1148.86:2008.68:3094.75  1192.02:2084.14:3211.01
IOPATH  B[5]       O[5]     1266.88:2215.04:3412.68  1328.78:2323.26:3579.41
IOPATH  B[5]       O[6]     1368.72:2393.09:3687     1437.22:2512.85:3871.52
IOPATH  B[5]       O[7]     1465.47:2562.25:3947.63  1504.47:2630.43:4052.67
IOPATH  B[5]       O[8]     1784.71:3120.41:4807.58  1816.52:3176.03:4893.28
IOPATH  B[5]       O[9]     1814.07:3171.75:4886.67  1856.22:3245.43:5000.2
IOPATH  B[5]       O[10]    1873.41:3275.49:5046.52  1912:3342.97:5150.48
IOPATH  B[5]       O[11]    1966.28:3437.87:5296.69  2001.32:3499.12:5391.06
IOPATH  B[5]       O[12]    1952.45:3413.7:5259.44   1987.69:3475.31:5354.36
IOPATH  B[5]       O[13]    1976.77:3456.21:5324.94  2011.95:3517.72:5419.7
IOPATH  B[5]       O[14]    2140.87:3743.12:5766.98  2176.5:3805.42:5862.96
IOPATH  B[5]       O[15]    2132.06:3727.72:5743.25  2137.13:3736.58:5756.91
IOPATH  B[6]       O[6]     1146.18:2004:3087.54     1214.68:2123.76:3272.05
IOPATH  B[6]       O[7]     1205.42:2107.57:3247.1   1257.93:2199.39:3388.57
IOPATH  B[6]       O[8]     1524.65:2665.72:4107.05  1556.47:2721.35:4192.75
IOPATH  B[6]       O[9]     1554.02:2717.06:4186.14  1596.16:2790.75:4299.67
IOPATH  B[6]       O[10]    1613.89:2821.75:4347.44  1656.12:2895.58:4461.18
IOPATH  B[6]       O[11]    1706.76:2984.12:4597.6   1741.79:3045.38:4691.97
IOPATH  B[6]       O[12]    1792.71:3134.4:4829.14   1827.95:3196.01:4924.06
IOPATH  B[6]       O[13]    1817.03:3176.92:4894.64  1852.21:3238.42:4989.4
IOPATH  B[6]       O[14]    1981.13:3463.83:5336.68  2016.76:3526.12:5432.66
IOPATH  B[6]       O[15]    1972.32:3448.43:5312.95  1977.39:3457.29:5326.6
IOPATH  B[7]       O[6]     1093.21:1911.38:2944.84  1161.7:2031.14:3129.35
IOPATH  B[7]       O[7]     1152.47:2014.99:3104.47  1204.96:2106.76:3245.87
IOPATH  B[7]       O[8]     1555.09:2718.95:4189.05  1574.37:2752.64:4240.96
IOPATH  B[7]       O[9]     1636.68:2861.59:4408.81  1683.45:2943.37:4534.82
IOPATH  B[7]       O[10]    1708.06:2986.4:4601.11   1750.29:3060.23:4714.86
IOPATH  B[7]       O[11]    1800.93:3148.77:4851.28  1835.97:3210.02:4945.65
IOPATH  B[7]       O[12]    1886.88:3299.05:5082.81  1922.12:3360.66:5177.73
IOPATH  B[7]       O[13]    1911.2:3341.56:5148.31   1946.38:3403.07:5243.07
IOPATH  B[7]       O[14]    2075.3:3628.48:5590.35   2110.93:3690.77:5686.33
IOPATH  B[7]       O[15]    2066.49:3613.07:5566.62  2071.56:3621.94:5580.27
IOPATH  B[8]       O[16]    799.014:1397.01:2152.35  872.19:1524.95:2349.47
IOPATH  B[8]       O[17]    876.83:1533.06:2361.97   949.421:1659.98:2557.51
IOPATH  B[8]       O[18]    1045.15:1827.36:2815.39  1101.7:1926.22:2967.71
IOPATH  B[8]       O[19]    1211:2117.33:3262.14     1271.2:2222.59:3424.32
IOPATH  B[8]       O[20]    1278.93:2236.1:3445.14   1318.41:2305.13:3551.48
IOPATH  B[8]       O[21]    1329.68:2324.82:3581.83  1383.1:2418.24:3725.75
IOPATH  B[8]       O[22]    1363.55:2384.04:3673.06  1419.93:2482.63:3824.96
IOPATH  B[8]       O[23]    1403.08:2453.17:3779.57  1451.85:2538.44:3910.95
IOPATH  B[8]       O[24]    1688:2951.32:4547.07     1760.82:3078.64:4743.22
IOPATH  B[8]       O[25]    1732.25:3028.69:4666.26  1789.82:3129.34:4821.33
IOPATH  B[8]       O[26]    1790.1:3129.83:4822.09   1844.09:3224.23:4967.53
IOPATH  B[8]       O[27]    1842.88:3222.11:4964.27  1895.27:3313.71:5105.39
IOPATH  B[8]       O[28]    1812.2:3168.47:4881.62   1868.74:3267.33:5033.94
IOPATH  B[8]       O[29]    1883.32:3292.82:5073.21  1938.52:3389.33:5221.91
IOPATH  B[8]       O[30]    1929.99:3374.42:5198.94  1996.16:3490.12:5377.19
IOPATH  B[8]       O[31]    1968.73:3442.15:5303.28  2030.44:3550.05:5469.51
IOPATH  B[9]       O[16]    890.459:1556.89:2398.68  944.762:1651.83:2544.96
IOPATH  B[9]       O[17]    968.315:1693.01:2608.41  1037.55:1814.07:2794.92
IOPATH  B[9]       O[18]    1206.83:2110.03:3250.9   1263.38:2208.91:3403.24
IOPATH  B[9]       O[19]    1372.67:2400:3697.65     1432.88:2505.26:3859.83
IOPATH  B[9]       O[20]    1466.16:2563.46:3949.49  1505.64:2632.48:4055.83
IOPATH  B[9]       O[21]    1516.91:2652.18:4086.18  1570.33:2745.59:4230.1
IOPATH  B[9]       O[22]    1550.78:2711.39:4177.41  1607.16:2809.99:4329.31
IOPATH  B[9]       O[23]    1584.53:2770.41:4268.34  1639.08:2865.8:4415.3
IOPATH  B[9]       O[24]    1838.73:3214.86:4953.11  1911.55:3342.18:5149.25
IOPATH  B[9]       O[25]    1882.98:3292.23:5072.3   1940.55:3392.88:5227.37
IOPATH  B[9]       O[26]    1940.83:3393.37:5228.12  1994.82:3487.77:5373.57
IOPATH  B[9]       O[27]    1993.61:3485.65:5370.31  2046:3577.25:5511.43
IOPATH  B[9]       O[28]    1962.93:3432.01:5287.65  2019.47:3530.88:5439.98
IOPATH  B[9]       O[29]    2034.05:3556.36:5479.25  2089.25:3652.87:5627.94
IOPATH  B[9]       O[30]    2080.72:3637.97:5604.97  2146.9:3753.66:5783.22
IOPATH  B[9]       O[31]    2119.46:3705.69:5709.31  2181.17:3813.59:5875.55
IOPATH  B[10]      O[18]    1070.93:1872.42:2884.82  1127.48:1971.3:3037.15
IOPATH  B[10]      O[19]    1236.77:2162.38:3331.55  1296.97:2267.64:3493.73
IOPATH  B[10]      O[20]    1355.65:2370.24:3651.8   1395.13:2439.26:3758.14
IOPATH  B[10]      O[21]    1406.39:2458.96:3788.49  1459.82:2552.37:3932.41
IOPATH  B[10]      O[22]    1440.26:2518.17:3879.72  1496.65:2616.77:4031.62
IOPATH  B[10]      O[23]    1489.94:2605.03:4013.54  1531.48:2677.66:4125.43
IOPATH  B[10]      O[24]    1774.86:3103.18:4781.04  1847.67:3230.49:4977.19
IOPATH  B[10]      O[25]    1819.11:3180.55:4900.23  1876.67:3281.2:5055.3
IOPATH  B[10]      O[26]    1876.95:3281.69:5056.06  1930.95:3376.09:5201.5
IOPATH  B[10]      O[27]    1929.73:3373.97:5198.24  1982.12:3465.57:5339.36
IOPATH  B[10]      O[28]    1899.05:3320.32:5115.59  1955.6:3419.19:5267.91
IOPATH  B[10]      O[29]    1970.18:3444.68:5307.18  2025.38:3541.19:5455.88
IOPATH  B[10]      O[30]    2016.85:3526.28:5432.9   2083.02:3641.98:5611.16
IOPATH  B[10]      O[31]    2055.58:3594.01:5537.25  2117.3:3701.91:5703.48
IOPATH  B[11]      O[18]    981.118:1715.4:2642.89   1037.67:1814.28:2795.23
IOPATH  B[11]      O[19]    1263.57:2209.24:3403.76  1311.3:2292.69:3532.32
IOPATH  B[11]      O[20]    1419.13:2481.22:3822.79  1458.61:2550.24:3929.13
IOPATH  B[11]      O[21]    1469.87:2569.94:3959.48  1523.3:2663.35:4103.4
IOPATH  B[11]      O[22]    1503.74:2629.16:4050.71  1560.13:2727.75:4202.61
IOPATH  B[11]      O[23]    1553.41:2716.01:4184.52  1594.95:2788.64:4296.42
IOPATH  B[11]      O[24]    1838.33:3214.16:4952.03  1911.15:3341.48:5148.17
IOPATH  B[11]      O[25]    1882.58:3291.53:5071.22  1940.15:3392.18:5226.29
IOPATH  B[11]      O[26]    1940.43:3392.67:5227.05  1994.42:3487.07:5372.49
IOPATH  B[11]      O[27]    1993.21:3484.95:5369.23  2045.6:3576.55:5510.35
IOPATH  B[11]      O[28]    1962.53:3431.31:5286.57  2019.07:3530.18:5438.9
IOPATH  B[11]      O[29]    2033.65:3555.66:5478.17  2088.85:3652.17:5626.86
IOPATH  B[11]      O[30]    2080.32:3637.27:5603.89  2146.5:3752.96:5782.14
IOPATH  B[11]      O[31]    2119.06:3704.99:5708.24  2180.77:3812.89:5874.47
IOPATH  B[12]      O[20]    1233.92:2157.41:3323.89  1273.4:2226.43:3430.23
IOPATH  B[12]      O[21]    1284.67:2246.13:3460.58  1338.09:2339.54:3604.5
IOPATH  B[12]      O[22]    1344.43:2350.61:3621.56  1406.18:2458.57:3787.9
IOPATH  B[12]      O[23]    1443.55:2523.92:3888.58  1485.09:2596.55:4000.47
IOPATH  B[12]      O[24]    1728.47:3022.08:4656.08  1801.28:3149.39:4852.23
IOPATH  B[12]      O[25]    1772.72:3099.44:4775.27  1830.28:3200.09:4930.34
IOPATH  B[12]      O[26]    1830.56:3200.58:4931.1   1884.56:3294.98:5076.54
IOPATH  B[12]      O[27]    1883.35:3292.86:5073.28  1935.74:3384.46:5214.4
IOPATH  B[12]      O[28]    1852.66:3239.22:4990.63  1909.21:3338.09:5142.95
IOPATH  B[12]      O[29]    1923.79:3363.57:5182.22  1978.99:3460.09:5330.92
IOPATH  B[12]      O[30]    1970.46:3445.18:5307.94  2036.63:3560.87:5486.2
IOPATH  B[12]      O[31]    2009.19:3512.9:5412.29   2070.91:3620.8:5578.52
IOPATH  B[13]      O[20]    1204.3:2105.61:3244.08   1243.77:2174.63:3350.42
IOPATH  B[13]      O[21]    1255.04:2194.33:3380.77  1308.47:2287.74:3524.69
IOPATH  B[13]      O[22]    1340.11:2343.06:3609.92  1401.85:2451.02:3776.25
IOPATH  B[13]      O[23]    1438.87:2515.74:3875.97  1480.41:2588.36:3987.86
IOPATH  B[13]      O[24]    1723.79:3013.89:4643.47  1796.6:3141.2:4839.62
IOPATH  B[13]      O[25]    1768.04:3091.25:4762.66  1825.6:3191.9:4917.73
IOPATH  B[13]      O[26]    1825.88:3192.4:4918.49   1879.87:3286.8:5063.93
IOPATH  B[13]      O[27]    1878.66:3284.68:5060.67  1931.05:3376.28:5201.79
IOPATH  B[13]      O[28]    1867.08:3264.43:5029.47  1923.63:3363.3:5181.8
IOPATH  B[13]      O[29]    1938.2:3388.78:5221.06   1993.41:3485.3:5369.75
IOPATH  B[13]      O[30]    1984.88:3470.38:5346.78  2051.05:3586.08:5525.03
IOPATH  B[13]      O[31]    2023.61:3538.11:5451.12  2085.32:3646.01:5617.36
IOPATH  B[14]      O[22]    1082.59:1892.82:2916.25  1144.34:2000.77:3082.57
IOPATH  B[14]      O[23]    1154.87:2019.19:3110.95  1196.42:2091.83:3222.86
IOPATH  B[14]      O[24]    1439.79:2517.35:3878.45  1512.61:2644.66:4074.6
IOPATH  B[14]      O[25]    1484.04:2594.71:3997.64  1541.61:2695.36:4152.72
IOPATH  B[14]      O[26]    1541.89:2695.86:4153.47  1595.88:2790.26:4298.92
IOPATH  B[14]      O[27]    1594.67:2788.14:4295.65  1647.06:2879.74:4436.78
IOPATH  B[14]      O[28]    1700.74:2973.6:4581.38   1757.29:3072.47:4733.72
IOPATH  B[14]      O[29]    1771.87:3097.95:4772.98  1827.07:3194.46:4921.67
IOPATH  B[14]      O[30]    1818.54:3179.55:4898.7   1884.71:3295.25:5076.95
IOPATH  B[14]      O[31]    1857.27:3247.28:5003.04  1918.98:3355.18:5169.28
IOPATH  B[15]      O[22]    1234.08:2157.68:3324.31  1295.82:2265.63:3490.64
IOPATH  B[15]      O[23]    1306.38:2284.1:3519.08   1347.93:2356.73:3630.99
IOPATH  B[15]      O[24]    1644.82:2875.82:4430.74  1704.77:2980.64:4592.24
IOPATH  B[15]      O[25]    1741.43:3044.75:4691     1804.65:3155.27:4861.28
IOPATH  B[15]      O[26]    1811.26:3166.83:4879.1   1869.47:3268.61:5035.91
IOPATH  B[15]      O[27]    1864.04:3259.11:5021.28  1916.43:3350.71:5162.4
IOPATH  B[15]      O[28]    1989.98:3479.31:5360.53  2046.54:3578.19:5512.87
IOPATH  B[15]      O[29]    2061.11:3603.66:5552.12  2116.31:3700.18:5700.82
IOPATH  B[15]      O[30]    2107.78:3685.27:5677.85  2173.95:3800.96:5856.1
IOPATH  B[15]      O[31]    2146.52:3752.99:5782.19  2208.23:3860.89:5948.43

CELL SB_MAC16_MUL_S_16X16_IM_BYPASS
HOLD      negedge:A[0]       posedge:CLK  110.748:193.633:298.328
HOLD      negedge:A[1]       posedge:CLK  109.024:190.62:293.686
HOLD      negedge:A[2]       posedge:CLK  106.197:185.676:286.069
HOLD      negedge:A[3]       posedge:CLK  106.3:185.856:286.347
HOLD      negedge:A[4]       posedge:CLK  107.158:187.357:288.658
HOLD      negedge:A[5]       posedge:CLK  90.4062:158.067:243.533
HOLD      negedge:A[6]       posedge:CLK  108.701:190.055:292.816
HOLD      negedge:A[7]       posedge:CLK  103.638:181.201:279.175
HOLD      negedge:A[8]       posedge:CLK  88.5624:154.844:238.566
HOLD      negedge:A[9]       posedge:CLK  82.9013:144.946:223.316
HOLD      negedge:A[10]      posedge:CLK  87.3323:152.693:235.252
HOLD      negedge:A[11]      posedge:CLK  85.0878:148.769:229.206
HOLD      negedge:A[12]      posedge:CLK  84.4245:147.609:227.419
HOLD      negedge:A[13]      posedge:CLK  84.4668:147.683:227.533
HOLD      negedge:A[14]      posedge:CLK  82.0173:143.4:220.935
HOLD      negedge:A[15]      posedge:CLK  89.7533:156.926:241.774
HOLD      negedge:ADDSUBBOT  posedge:CLK  33.9157:59.2987:91.3608
HOLD      negedge:ADDSUBTOP  posedge:CLK  31.6653:55.3641:85.2988
HOLD      negedge:AHOLD      posedge:CLK  99.8238:174.533:268.901
HOLD      negedge:B[0]       posedge:CLK  97.9992:171.343:263.986
HOLD      negedge:B[1]       posedge:CLK  100.797:176.234:271.522
HOLD      negedge:B[2]       posedge:CLK  95.8796:167.637:258.276
HOLD      negedge:B[3]       posedge:CLK  98.2214:171.732:264.585
HOLD      negedge:B[4]       posedge:CLK  99.1251:173.312:267.019
HOLD      negedge:B[5]       posedge:CLK  92.5696:161.85:249.36
HOLD      negedge:B[6]       posedge:CLK  90.3049:157.89:243.26
HOLD      negedge:B[7]       posedge:CLK  90.4082:158.071:243.538
HOLD      negedge:B[8]       posedge:CLK  89.4381:156.375:240.925
HOLD      negedge:B[9]       posedge:CLK  95.4897:166.955:257.226
HOLD      negedge:B[10]      posedge:CLK  82.0453:143.449:221.01
HOLD      negedge:B[11]      posedge:CLK  87.8741:153.64:236.712
HOLD      negedge:B[12]      posedge:CLK  92.8081:162.267:250.003
HOLD      negedge:B[13]      posedge:CLK  87.9365:153.749:236.88
HOLD      negedge:B[14]      posedge:CLK  83.4638:145.929:224.831
HOLD      negedge:B[15]      posedge:CLK  96.708:169.086:260.508
HOLD      negedge:BHOLD      posedge:CLK  87.1095:152.303:234.652
HOLD      negedge:C[0]       posedge:CLK  117.028:204.613:315.245
HOLD      negedge:C[1]       posedge:CLK  118.587:207.339:319.445
HOLD      negedge:C[2]       posedge:CLK  116.098:202.987:312.739
HOLD      negedge:C[3]       posedge:CLK  114.747:200.625:309.101
HOLD      negedge:C[4]       posedge:CLK  115.462:201.875:311.027
HOLD      negedge:C[5]       posedge:CLK  116.186:203.141:312.978
HOLD      negedge:C[6]       posedge:CLK  115.685:202.265:311.628
HOLD      negedge:C[7]       posedge:CLK  118.049:206.399:317.996
HOLD      negedge:C[8]       posedge:CLK  116.568:203.809:314.005
HOLD      negedge:C[9]       posedge:CLK  115.634:202.176:311.49
HOLD      negedge:C[10]      posedge:CLK  114.576:200.327:308.641
HOLD      negedge:C[11]      posedge:CLK  116.01:202.834:312.504
HOLD      negedge:C[12]      posedge:CLK  117.593:205.602:316.768
HOLD      negedge:C[13]      posedge:CLK  116.004:202.823:312.488
HOLD      negedge:C[14]      posedge:CLK  115.659:202.22:311.558
HOLD      negedge:C[15]      posedge:CLK  115.814:202.49:311.974
HOLD      negedge:CHOLD      posedge:CLK  105.921:185.194:285.326
HOLD      negedge:D[0]       posedge:CLK  93.8499:164.088:252.809
HOLD      negedge:D[1]       posedge:CLK  91.1157:159.308:245.444
HOLD      negedge:D[2]       posedge:CLK  90.5242:158.274:243.85
HOLD      negedge:D[3]       posedge:CLK  88.619:154.943:238.718
HOLD      negedge:D[4]       posedge:CLK  83.8163:146.545:225.781
HOLD      negedge:D[5]       posedge:CLK  91.8198:160.539:247.34
HOLD      negedge:D[6]       posedge:CLK  91.8916:160.664:247.534
HOLD      negedge:D[7]       posedge:CLK  89.0974:155.779:240.007
HOLD      negedge:D[8]       posedge:CLK  122.3:213.831:329.447
HOLD      negedge:D[9]       posedge:CLK  84.9005:148.441:228.701
HOLD      negedge:D[10]      posedge:CLK  124.376:217.46:335.038
HOLD      negedge:D[11]      posedge:CLK  125.995:220.291:339.401
HOLD      negedge:D[12]      posedge:CLK  124.859:218.305:336.34
HOLD      negedge:D[13]      posedge:CLK  122.319:213.865:329.499
HOLD      negedge:D[14]      posedge:CLK  87.406:152.822:235.451
HOLD      negedge:D[15]      posedge:CLK  127.656:223.195:343.873
HOLD      negedge:DHOLD      posedge:CLK  115.051:201.157:309.921
HOLD      negedge:OHOLDBOT   posedge:CLK  73.5005:128.509:197.993
HOLD      negedge:OHOLDTOP   posedge:CLK  77.3316:135.208:208.313
HOLD      negedge:OLOADBOT   posedge:CLK  95.6549:167.244:257.671
HOLD      negedge:OLOADTOP   posedge:CLK  87.8451:153.589:236.634
HOLD      posedge:A[0]       posedge:CLK  85.7097:149.856:230.881
HOLD      posedge:A[1]       posedge:CLK  84.2347:147.277:226.908
HOLD      posedge:A[2]       posedge:CLK  80.3687:140.518:216.494
HOLD      posedge:A[3]       posedge:CLK  80.0702:139.996:215.69
HOLD      posedge:A[4]       posedge:CLK  81.8516:143.11:220.488
HOLD      posedge:A[5]       posedge:CLK  69.012:120.661:185.902
HOLD      posedge:A[6]       posedge:CLK  82.5748:144.375:222.437
HOLD      posedge:A[7]       posedge:CLK  78.31:136.918:210.948
HOLD      posedge:A[8]       posedge:CLK  67.9357:118.78:183.002
HOLD      posedge:A[9]       posedge:CLK  63.8627:111.658:172.031
HOLD      posedge:A[10]      posedge:CLK  67.2537:117.587:181.165
HOLD      posedge:A[11]      posedge:CLK  65.436:114.409:176.269
HOLD      posedge:A[12]      posedge:CLK  65.4616:114.454:176.338
HOLD      posedge:A[13]      posedge:CLK  64.7713:113.247:174.478
HOLD      posedge:A[14]      posedge:CLK  61.6364:107.766:166.034
HOLD      posedge:A[15]      posedge:CLK  68.3521:119.508:184.124
HOLD      posedge:ADDSUBBOT  posedge:CLK  28.5314:49.8847:76.8567
HOLD      posedge:ADDSUBTOP  posedge:CLK  25.5528:44.6769:68.8332
HOLD      posedge:AHOLD      posedge:CLK  80.3569:140.497:216.462
HOLD      posedge:B[0]       posedge:CLK  78.3086:136.916:210.944
HOLD      posedge:B[1]       posedge:CLK  79.4822:138.968:214.106
HOLD      posedge:B[2]       posedge:CLK  75.7681:132.474:204.101
HOLD      posedge:B[3]       posedge:CLK  77.8346:136.087:209.668
HOLD      posedge:B[4]       posedge:CLK  79.2472:138.557:213.473
HOLD      posedge:B[5]       posedge:CLK  71.6385:125.254:192.977
HOLD      posedge:B[6]       posedge:CLK  69.5818:121.658:187.437
HOLD      posedge:B[7]       posedge:CLK  69.9968:122.383:188.555
HOLD      posedge:B[8]       posedge:CLK  68.4534:119.685:184.397
HOLD      posedge:B[9]       posedge:CLK  74.2945:129.897:200.132
HOLD      posedge:B[10]      posedge:CLK  63.8233:111.59:171.925
HOLD      posedge:B[11]      posedge:CLK  66.9735:117.097:180.41
HOLD      posedge:B[12]      posedge:CLK  71.9478:125.794:193.81
HOLD      posedge:B[13]      posedge:CLK  67.62:118.228:182.152
HOLD      posedge:B[14]      posedge:CLK  63.8715:111.674:172.054
HOLD      posedge:B[15]      posedge:CLK  75.6609:132.286:203.812
HOLD      posedge:BHOLD      posedge:CLK  72.0648:125.999:194.125
HOLD      posedge:C[0]       posedge:CLK  96.5222:168.761:260.007
HOLD      posedge:C[1]       posedge:CLK  98.1496:171.606:264.391
HOLD      posedge:C[2]       posedge:CLK  95.3898:166.781:256.957
HOLD      posedge:C[3]       posedge:CLK  95.1755:166.406:256.38
HOLD      posedge:C[4]       posedge:CLK  95.2915:166.609:256.692
HOLD      posedge:C[5]       posedge:CLK  96.0452:167.927:258.723
HOLD      posedge:C[6]       posedge:CLK  93.3258:163.172:251.397
HOLD      posedge:C[7]       posedge:CLK  97.2051:169.955:261.847
HOLD      posedge:C[8]       posedge:CLK  95.3171:166.654:256.761
HOLD      posedge:C[9]       posedge:CLK  93.9104:164.194:252.972
HOLD      posedge:C[10]      posedge:CLK  92.6011:161.905:249.445
HOLD      posedge:C[11]      posedge:CLK  95.0496:166.186:256.041
HOLD      posedge:C[12]      posedge:CLK  97.0532:169.689:261.438
HOLD      posedge:C[13]      posedge:CLK  94.8544:165.845:255.515
HOLD      posedge:C[14]      posedge:CLK  93.6616:163.759:252.302
HOLD      posedge:C[15]      posedge:CLK  95.674:167.278:257.723
HOLD      posedge:CHOLD      posedge:CLK  90.7543:158.676:244.47
HOLD      posedge:D[0]       posedge:CLK  73.7198:128.893:198.583
HOLD      posedge:D[1]       posedge:CLK  70.7638:123.724:190.621
HOLD      posedge:D[2]       posedge:CLK  68.2548:119.338:183.862
HOLD      posedge:D[3]       posedge:CLK  67.0959:117.311:180.74
HOLD      posedge:D[4]       posedge:CLK  63.6748:111.33:171.525
HOLD      posedge:D[5]       posedge:CLK  70.8135:123.811:190.754
HOLD      posedge:D[6]       posedge:CLK  72.5363:126.823:195.395
HOLD      posedge:D[7]       posedge:CLK  69.0685:120.76:186.054
HOLD      posedge:D[8]       posedge:CLK  101.038:176.656:272.171
HOLD      posedge:D[9]       posedge:CLK  65.8013:115.048:177.253
HOLD      posedge:D[10]      posedge:CLK  100.567:175.832:270.902
HOLD      posedge:D[11]      posedge:CLK  102.772:179.688:276.843
HOLD      posedge:D[12]      posedge:CLK  101.446:177.369:273.271
HOLD      posedge:D[13]      posedge:CLK  99.0125:173.115:266.716
HOLD      posedge:D[14]      posedge:CLK  68.1491:119.153:183.577
HOLD      posedge:D[15]      posedge:CLK  103.59:181.119:279.048
HOLD      posedge:DHOLD      posedge:CLK  96.4425:168.621:259.793
HOLD      posedge:OHOLDBOT   posedge:CLK  89.1112:155.803:240.044
HOLD      posedge:OHOLDTOP   posedge:CLK  91.8862:160.655:247.519
HOLD      posedge:OLOADBOT   posedge:CLK  84.515:147.767:227.663
HOLD      posedge:OLOADTOP   posedge:CLK  80.3643:140.51:216.482
RECOVERY  negedge:IRSTBOT    posedge:CLK  392.221:685.764:1056.55
RECOVERY  negedge:IRSTTOP    posedge:CLK  417.52:729.998:1124.7
RECOVERY  negedge:ORSTBOT    posedge:CLK  302.84:529.489:815.777
RECOVERY  negedge:ORSTTOP    posedge:CLK  349.829:611.646:942.355
RECOVERY  posedge:IRSTBOT    posedge:CLK  0:0:0
RECOVERY  posedge:IRSTTOP    posedge:CLK  0:0:0
RECOVERY  posedge:ORSTBOT    posedge:CLK  0:0:0
RECOVERY  posedge:ORSTTOP    posedge:CLK  0:0:0
REMOVAL   negedge:IRSTBOT    posedge:CLK  0:0:0
REMOVAL   negedge:IRSTTOP    posedge:CLK  0:0:0
REMOVAL   negedge:ORSTBOT    posedge:CLK  0:0:0
REMOVAL   negedge:ORSTTOP    posedge:CLK  0:0:0
REMOVAL   posedge:IRSTBOT    posedge:CLK  0:0:0
REMOVAL   posedge:IRSTTOP    posedge:CLK  0:0:0
REMOVAL   posedge:ORSTBOT    posedge:CLK  0:0:0
REMOVAL   posedge:ORSTTOP    posedge:CLK  0:0:0
SETUP     negedge:A[0]       posedge:CLK  -38.0891:-66.5954:-102.603
SETUP     negedge:A[1]       posedge:CLK  -35.3401:-61.7891:-95.1978
SETUP     negedge:A[2]       posedge:CLK  -36.3771:-63.6021:-97.991
SETUP     negedge:A[3]       posedge:CLK  -31.7794:-55.5635:-85.6061
SETUP     negedge:A[4]       posedge:CLK  -33.0573:-57.7977:-89.0483
SETUP     negedge:A[5]       posedge:CLK  -24.3841:-42.6335:-65.685
SETUP     negedge:A[6]       posedge:CLK  -37.1962:-65.0343:-100.198
SETUP     negedge:A[7]       posedge:CLK  -30.6279:-53.5502:-82.5042
SETUP     negedge:A[8]       posedge:CLK  -23.3442:-40.8153:-62.8837
SETUP     negedge:A[9]       posedge:CLK  -7.81812:-13.6693:-21.0601
SETUP     negedge:A[10]      posedge:CLK  -20.4556:-35.7649:-55.1026
SETUP     negedge:A[11]      posedge:CLK  -20.6528:-36.1096:-55.6337
SETUP     negedge:A[12]      posedge:CLK  -18.7825:-32.8395:-50.5955
SETUP     negedge:A[13]      posedge:CLK  -19.3445:-33.8221:-52.1093
SETUP     negedge:A[14]      posedge:CLK  -11.0676:-19.3507:-29.8135
SETUP     negedge:A[15]      posedge:CLK  -20.8421:-36.4406:-56.1436
SETUP     negedge:ADDSUBBOT  posedge:CLK  674.108:1178.62:1815.89
SETUP     negedge:ADDSUBTOP  posedge:CLK  676.634:1183.04:1822.69
SETUP     negedge:AHOLD      posedge:CLK  140.197:245.122:377.657
SETUP     negedge:B[0]       posedge:CLK  -26.7978:-46.8535:-72.1867
SETUP     negedge:B[1]       posedge:CLK  -26.5755:-46.465:-71.588
SETUP     negedge:B[2]       posedge:CLK  -26.7923:-46.8441:-72.1721
SETUP     negedge:B[3]       posedge:CLK  -31.2356:-54.6127:-84.1412
SETUP     negedge:B[4]       posedge:CLK  -20.5245:-35.8853:-55.288
SETUP     negedge:B[5]       posedge:CLK  -23.0251:-40.2574:-62.0242
SETUP     negedge:B[6]       posedge:CLK  -21.2841:-37.2134:-57.3343
SETUP     negedge:B[7]       posedge:CLK  -21.3225:-37.2805:-57.4376
SETUP     negedge:B[8]       posedge:CLK  -24.4298:-42.7135:-65.8081
SETUP     negedge:B[9]       posedge:CLK  -28.408:-49.6689:-76.5243
SETUP     negedge:B[10]      posedge:CLK  -9.44262:-16.5096:-25.4361
SETUP     negedge:B[11]      posedge:CLK  -20.5353:-35.9042:-55.3171
SETUP     negedge:B[12]      posedge:CLK  -21.4931:-37.5788:-57.8972
SETUP     negedge:B[13]      posedge:CLK  -6.6853:-11.6887:-18.0086
SETUP     negedge:B[14]      posedge:CLK  -10.7996:-18.8822:-29.0916
SETUP     negedge:B[15]      posedge:CLK  -13.8677:-24.2464:-37.3562
SETUP     negedge:BHOLD      posedge:CLK  130.019:227.327:350.24
SETUP     negedge:C[0]       posedge:CLK  84.4496:147.653:227.487
SETUP     negedge:C[1]       posedge:CLK  79.7467:139.43:214.818
SETUP     negedge:C[2]       posedge:CLK  73.4572:128.434:197.876
SETUP     negedge:C[3]       posedge:CLK  68.2735:119.37:183.912
SETUP     negedge:C[4]       posedge:CLK  72.7438:127.186:195.954
SETUP     negedge:C[5]       posedge:CLK  64.1823:112.217:172.892
SETUP     negedge:C[6]       posedge:CLK  79.5033:139.005:214.163
SETUP     negedge:C[7]       posedge:CLK  72.9587:127.562:196.533
SETUP     negedge:C[8]       posedge:CLK  71.6783:125.323:193.084
SETUP     negedge:C[9]       posedge:CLK  60.9952:106.645:164.306
SETUP     negedge:C[10]      posedge:CLK  66.9174:116.999:180.259
SETUP     negedge:C[11]      posedge:CLK  74.4661:130.198:200.594
SETUP     negedge:C[12]      posedge:CLK  68.1265:119.113:183.516
SETUP     negedge:C[13]      posedge:CLK  75.1638:131.417:202.473
SETUP     negedge:C[14]      posedge:CLK  69.864:122.151:188.197
SETUP     negedge:C[15]      posedge:CLK  67.4627:117.953:181.728
SETUP     negedge:CHOLD      posedge:CLK  96.6446:168.975:260.337
SETUP     negedge:D[0]       posedge:CLK  107.995:188.821:290.914
SETUP     negedge:D[1]       posedge:CLK  92.632:161.959:249.528
SETUP     negedge:D[2]       posedge:CLK  85.668:149.783:230.769
SETUP     negedge:D[3]       posedge:CLK  66.4297:116.147:178.946
SETUP     negedge:D[4]       posedge:CLK  85.7058:149.849:230.871
SETUP     negedge:D[5]       posedge:CLK  94.1621:164.634:253.65
SETUP     negedge:D[6]       posedge:CLK  76.3679:133.523:205.717
SETUP     negedge:D[7]       posedge:CLK  73.6234:128.724:198.324
SETUP     negedge:D[8]       posedge:CLK  70.4904:123.246:189.884
SETUP     negedge:D[9]       posedge:CLK  72.3696:126.532:194.946
SETUP     negedge:D[10]      posedge:CLK  81.7493:142.932:220.213
SETUP     negedge:D[11]      posedge:CLK  70.5111:123.282:189.94
SETUP     negedge:D[12]      posedge:CLK  69.6349:121.751:187.58
SETUP     negedge:D[13]      posedge:CLK  54.0887:94.5693:145.702
SETUP     negedge:D[14]      posedge:CLK  83.9663:146.808:226.185
SETUP     negedge:D[15]      posedge:CLK  52.9381:92.5577:142.603
SETUP     negedge:DHOLD      posedge:CLK  118.156:206.585:318.283
SETUP     negedge:OHOLDBOT   posedge:CLK  153.927:269.128:414.643
SETUP     negedge:OHOLDTOP   posedge:CLK  108.687:190.029:292.776
SETUP     negedge:OLOADBOT   posedge:CLK  168.613:294.804:454.202
SETUP     negedge:OLOADTOP   posedge:CLK  136.972:239.484:368.97
SETUP     posedge:A[0]       posedge:CLK  -25.8188:-45.142:-69.5497
SETUP     posedge:A[1]       posedge:CLK  -21.4842:-37.5633:-57.8733
SETUP     posedge:A[2]       posedge:CLK  -27.8263:-48.6519:-74.9575
SETUP     posedge:A[3]       posedge:CLK  -15.2119:-26.5967:-40.9773
SETUP     posedge:A[4]       posedge:CLK  -17.8208:-31.158:-48.0048
SETUP     posedge:A[5]       posedge:CLK  -12.7835:-22.3509:-34.4358
SETUP     posedge:A[6]       posedge:CLK  -27.0839:-47.3538:-72.9575
SETUP     posedge:A[7]       posedge:CLK  -19.6154:-34.2958:-52.8391
SETUP     posedge:A[8]       posedge:CLK  -19.8838:-34.7651:-53.5622
SETUP     posedge:A[9]       posedge:CLK  5.23535:9.15356:14.1028
SETUP     posedge:A[10]      posedge:CLK  -10.9398:-19.1272:-29.4691
SETUP     posedge:A[11]      posedge:CLK  -14.8432:-25.952:-39.9839
SETUP     posedge:A[12]      posedge:CLK  -9.94953:-17.3959:-26.8016
SETUP     posedge:A[13]      posedge:CLK  -13.6042:-23.7857:-36.6463
SETUP     posedge:A[14]      posedge:CLK  -3.18114:-5.56194:-8.56922
SETUP     posedge:A[15]      posedge:CLK  -11.4329:-19.9895:-30.7975
SETUP     posedge:ADDSUBBOT  posedge:CLK  694.807:1214.81:1871.64
SETUP     posedge:ADDSUBTOP  posedge:CLK  695.197:1215.49:1872.69
SETUP     posedge:AHOLD      posedge:CLK  112.255:196.268:302.387
SETUP     posedge:B[0]       posedge:CLK  -18.074:-31.6008:-48.6869
SETUP     posedge:B[1]       posedge:CLK  -20.1946:-35.3084:-54.3993
SETUP     posedge:B[2]       posedge:CLK  -18.9364:-33.1086:-51.01
SETUP     posedge:B[3]       posedge:CLK  -23.6761:-41.3956:-63.7777
SETUP     posedge:B[4]       posedge:CLK  -10.365:-18.1223:-27.9208
SETUP     posedge:B[5]       posedge:CLK  -12.6036:-22.0363:-33.951
SETUP     posedge:B[6]       posedge:CLK  -9.62109:-16.8216:-25.9169
SETUP     posedge:B[7]       posedge:CLK  -11.7235:-20.4975:-31.5803
SETUP     posedge:B[8]       posedge:CLK  -18.3754:-32.1277:-49.4988
SETUP     posedge:B[9]       posedge:CLK  -21.529:-37.6415:-57.9939
SETUP     posedge:B[10]      posedge:CLK  0.921399:1.61099:2.48203
SETUP     posedge:B[11]      posedge:CLK  -10.1437:-17.7355:-27.3248
SETUP     posedge:B[12]      posedge:CLK  -8.14017:-14.2324:-21.9277
SETUP     posedge:B[13]      posedge:CLK  2.41314:4.21916:6.50042
SETUP     posedge:B[14]      posedge:CLK  -3.28832:-5.74934:-8.85795
SETUP     posedge:B[15]      posedge:CLK  -5.12473:-8.96014:-13.8048
SETUP     posedge:BHOLD      posedge:CLK  108.711:190.072:292.842
SETUP     posedge:C[0]       posedge:CLK  74.8147:130.807:201.533
SETUP     posedge:C[1]       posedge:CLK  78.3553:136.997:211.07
SETUP     posedge:C[2]       posedge:CLK  68.9913:120.625:185.846
SETUP     posedge:C[3]       posedge:CLK  72.2742:126.365:194.689
SETUP     posedge:C[4]       posedge:CLK  71.8135:125.56:193.448
SETUP     posedge:C[5]       posedge:CLK  60.42:105.639:162.757
SETUP     posedge:C[6]       posedge:CLK  72.9001:127.46:196.375
SETUP     posedge:C[7]       posedge:CLK  68.2204:119.277:183.769
SETUP     posedge:C[8]       posedge:CLK  65.6868:114.848:176.944
SETUP     posedge:C[9]       posedge:CLK  50.5943:88.4598:136.289
SETUP     posedge:C[10]      posedge:CLK  60.2041:105.262:162.175
SETUP     posedge:C[11]      posedge:CLK  64.0185:111.931:172.45
SETUP     posedge:C[12]      posedge:CLK  58.8087:102.822:158.417
SETUP     posedge:C[13]      posedge:CLK  70.2185:122.771:189.152
SETUP     posedge:C[14]      posedge:CLK  61.7081:107.891:166.227
SETUP     posedge:C[15]      posedge:CLK  65.3485:114.256:176.033
SETUP     posedge:CHOLD      posedge:CLK  75.0689:131.251:202.218
SETUP     posedge:D[0]       posedge:CLK  95.1996:166.448:256.445
SETUP     posedge:D[1]       posedge:CLK  93.8966:164.17:252.935
SETUP     posedge:D[2]       posedge:CLK  80.0063:139.884:215.518
SETUP     posedge:D[3]       posedge:CLK  62.8906:109.959:169.412
SETUP     posedge:D[4]       posedge:CLK  82.0787:143.507:221.1
SETUP     posedge:D[5]       posedge:CLK  92.4752:161.685:249.106
SETUP     posedge:D[6]       posedge:CLK  64.8608:113.403:174.719
SETUP     posedge:D[7]       posedge:CLK  75.3492:131.741:202.973
SETUP     posedge:D[8]       posedge:CLK  63.8853:111.698:172.092
SETUP     posedge:D[9]       posedge:CLK  69.4496:121.426:187.08
SETUP     posedge:D[10]      posedge:CLK  73.2659:128.099:197.361
SETUP     posedge:D[11]      posedge:CLK  62.3434:109.002:167.938
SETUP     posedge:D[12]      posedge:CLK  65.0112:113.666:175.125
SETUP     posedge:D[13]      posedge:CLK  51.5379:90.1094:138.831
SETUP     posedge:D[14]      posedge:CLK  78.369:137.021:211.107
SETUP     posedge:D[15]      posedge:CLK  56.8509:99.3988:153.143
SETUP     posedge:DHOLD      posedge:CLK  95.2704:166.572:256.635
SETUP     posedge:OHOLDBOT   posedge:CLK  125.286:219.051:337.489
SETUP     posedge:OHOLDTOP   posedge:CLK  78.7516:137.69:212.138
SETUP     posedge:OLOADBOT   posedge:CLK  162.999:284.99:439.08
SETUP     posedge:OLOADTOP   posedge:CLK  145.363:254.155:391.573
IOPATH    ADDSUBTOP          ACCUMCO      787.304:1376.53:2120.81     929.697:1625.49:2504.38
IOPATH    ADDSUBTOP          CO           225.799:394.79:608.248      220.814:386.074:594.82
IOPATH    posedge:CLK        ACCUMCO      1164.12:2035.36:3135.86     1305.36:2282.32:3516.34
IOPATH    posedge:CLK        CO           1445.56:2527.43:3893.98     1573.81:2751.67:4239.47
IOPATH    posedge:CLK        O[0]         505.159:883.227:1360.78     565.898:989.423:1524.39
IOPATH    posedge:CLK        O[1]         512.03:895.24:1379.29       555.639:971.486:1496.76
IOPATH    posedge:CLK        O[2]         520.279:909.662:1401.51     570.974:998.298:1538.07
IOPATH    posedge:CLK        O[3]         513.518:897.841:1383.29     545.279:953.373:1468.85
IOPATH    posedge:CLK        O[4]         549.329:960.453:1479.76     586.181:1024.89:1579.03
IOPATH    posedge:CLK        O[5]         500.634:875.315:1348.59     544.336:951.725:1466.31
IOPATH    posedge:CLK        O[6]         491.105:858.655:1322.92     522.923:914.285:1408.63
IOPATH    posedge:CLK        O[7]         478.072:835.867:1287.81     515.854:901.926:1389.59
IOPATH    posedge:CLK        O[8]         674.913:1180.03:1818.05     670.032:1171.49:1804.9
IOPATH    posedge:CLK        O[9]         653.424:1142.45:1760.17     615.658:1076.42:1658.43
IOPATH    posedge:CLK        O[10]        578.183:1010.9:1557.49      568.193:993.436:1530.58
IOPATH    posedge:CLK        O[11]        645.355:1128.35:1738.43     630.201:1101.85:1697.61
IOPATH    posedge:CLK        O[12]        661.418:1156.43:1781.7      630.802:1102.9:1699.23
IOPATH    posedge:CLK        O[13]        672.12:1175.14:1810.53      619.497:1083.14:1668.77
IOPATH    posedge:CLK        O[14]        732.111:1280.03:1972.13     700.879:1225.43:1888
IOPATH    posedge:CLK        O[15]        588.429:1028.82:1585.09     588.426:1028.81:1585.08
IOPATH    posedge:CLK        O[16]        745.682:1303.76:2008.69     712.739:1246.16:1919.95
IOPATH    posedge:CLK        O[17]        610.665:1067.69:1644.98     629.208:1100.12:1694.94
IOPATH    posedge:CLK        O[18]        574.777:1004.95:1548.31     588.304:1028.6:1584.75
IOPATH    posedge:CLK        O[19]        650.901:1138.04:1753.37     653.165:1142:1759.47
IOPATH    posedge:CLK        O[20]        638.806:1116.9:1720.79      628.52:1098.91:1693.08
IOPATH    posedge:CLK        O[21]        576.036:1007.15:1551.7      564.5:986.979:1520.63
IOPATH    posedge:CLK        O[22]        548.074:958.26:1476.38      550.461:962.434:1482.81
IOPATH    posedge:CLK        O[23]        550.909:963.216:1484.02     548.117:958.334:1476.49
IOPATH    posedge:CLK        O[24]        578.092:1010.74:1557.24     569.922:996.458:1535.23
IOPATH    posedge:CLK        O[25]        560.365:979.75:1509.49      561.993:982.595:1513.87
IOPATH    posedge:CLK        O[26]        566.9:991.175:1527.09       564.885:987.652:1521.66
IOPATH    posedge:CLK        O[27]        577.199:1009.18:1554.83     574.82:1005.02:1548.43
IOPATH    posedge:CLK        O[28]        576.773:1008.44:1553.69     576.576:1008.09:1553.16
IOPATH    posedge:CLK        O[29]        585.301:1023.35:1576.66     590.921:1033.17:1591.8
IOPATH    posedge:CLK        O[30]        604.743:1057.34:1629.03     608.994:1064.77:1640.48
IOPATH    posedge:CLK        O[31]        618.524:1081.43:1666.15     634.303:1109.02:1708.66
IOPATH    posedge:CLK        SIGNEXTOUT   720.575:1259.86:1941.05     703.764:1230.47:1895.77

CELL SB_MAC16_MUL_U_8X8_BYPASS
IOPATH  A[0]       ACCUMCO  725.168:1267.89:1953.43  950.218:1661.37:2559.66
IOPATH  A[0]       CO       1006.6:1759.96:2711.55   1218.67:2130.73:3282.79
IOPATH  A[0]       O[0]     732.351:1280.45:1972.78  812.301:1420.24:2188.14
IOPATH  A[0]       O[1]     802.742:1403.52:2162.39  876.764:1532.94:2361.79
IOPATH  A[0]       O[2]     913.076:1596.43:2459.61  970.276:1696.44:2613.69
IOPATH  A[0]       O[3]     999.781:1748.03:2693.17  1056.72:1847.59:2846.56
IOPATH  A[0]       O[4]     1074.72:1879.05:2895.03  1130.5:1976.58:3045.29
IOPATH  A[0]       O[5]     1134.68:1983.89:3056.55  1196.58:2092.12:3223.3
IOPATH  A[0]       O[6]     1177.52:2058.79:3171.96  1241.72:2171.04:3344.9
IOPATH  A[0]       O[7]     1221.19:2135.14:3289.59  1272.68:2225.17:3428.3
IOPATH  A[0]       O[8]     1428.49:2497.6:3848.02   1460.31:2553.22:3933.72
IOPATH  A[0]       O[9]     1457.86:2548.93:3927.11  1500:2622.62:4040.64
IOPATH  A[0]       O[10]    1517.19:2652.68:4086.96  1555.79:2720.16:4190.92
IOPATH  A[0]       O[11]    1610.07:2815.06:4337.13  1645.1:2876.31:4431.5
IOPATH  A[0]       O[12]    1550.66:2711.19:4177.11  1585.89:2772.79:4272.01
IOPATH  A[0]       O[13]    1574.98:2753.71:4242.6   1610.15:2815.21:4337.37
IOPATH  A[0]       O[14]    1739.07:3040.62:4684.65  1774.7:3102.92:4780.63
IOPATH  A[0]       O[15]    1730.27:3025.22:4660.92  1735.33:3034.08:4674.57
IOPATH  A[1]       ACCUMCO  842.338:1472.76:2269.06  980.093:1713.61:2640.13
IOPATH  A[1]       CO       1123.77:1964.82:3027.18  1248.54:2182.96:3363.27
IOPATH  A[1]       O[1]     787.147:1376.26:2120.38  862.218:1507.51:2322.61
IOPATH  A[1]       O[2]     973.76:1702.53:2623.07   1030.95:1802.53:2777.14
IOPATH  A[1]       O[3]     1060.46:1854.13:2856.63  1117.4:1953.68:3010.02
IOPATH  A[1]       O[4]     1144.01:2000.21:3081.7   1187.66:2076.53:3199.28
IOPATH  A[1]       O[5]     1262.04:2206.56:3399.63  1323.93:2314.78:3566.36
IOPATH  A[1]       O[6]     1304.87:2281.46:3515.02  1369.08:2393.71:3687.96
IOPATH  A[1]       O[7]     1348.54:2357.81:3632.65  1400.04:2447.84:3771.36
IOPATH  A[1]       O[8]     1543.88:2699.34:4158.84  1575.69:2754.96:4244.53
IOPATH  A[1]       O[9]     1573.24:2750.67:4237.93  1615.39:2824.36:4351.46
IOPATH  A[1]       O[10]    1632.58:2854.42:4397.77  1671.17:2921.9:4501.74
IOPATH  A[1]       O[11]    1725.45:3016.8:4647.95   1760.48:3078.05:4742.32
IOPATH  A[1]       O[12]    1666.05:2912.93:4487.92  1701.28:2974.53:4582.83
IOPATH  A[1]       O[13]    1690.36:2955.45:4553.42  1725.54:3016.95:4648.19
IOPATH  A[1]       O[14]    1854.46:3242.36:4995.46  1890.09:3304.66:5091.44
IOPATH  A[1]       O[15]    1845.65:3226.96:4971.73  1850.72:3235.82:4985.39
IOPATH  A[2]       ACCUMCO  809.798:1415.86:2181.4   915.404:1600.5:2465.88
IOPATH  A[2]       CO       1091.23:1907.93:2939.52  1183.85:2069.86:3189.01
IOPATH  A[2]       O[2]     966.44:1689.74:2603.36   1023.63:1789.73:2757.42
IOPATH  A[2]       O[3]     1053.14:1841.33:2836.91  1110.09:1940.89:2990.3
IOPATH  A[2]       O[4]     1136.78:1987.57:3062.22  1180.35:2063.73:3179.57
IOPATH  A[2]       O[5]     1254.81:2193.92:3380.15  1316.7:2302.14:3546.88
IOPATH  A[2]       O[6]     1297.64:2268.82:3495.54  1361.84:2381.07:3668.48
IOPATH  A[2]       O[7]     1341.31:2345.17:3613.17  1392.8:2435.2:3751.88
IOPATH  A[2]       O[8]     1548.11:2706.73:4170.23  1579.92:2762.35:4255.92
IOPATH  A[2]       O[9]     1577.47:2758.07:4249.32  1619.61:2831.75:4362.85
IOPATH  A[2]       O[10]    1636.81:2861.82:4409.17  1675.4:2929.29:4513.13
IOPATH  A[2]       O[11]    1729.68:3024.19:4659.34  1764.71:3085.44:4753.71
IOPATH  A[2]       O[12]    1670.27:2920.33:4499.31  1705.51:2981.93:4594.22
IOPATH  A[2]       O[13]    1694.59:2962.84:4564.81  1729.77:3024.35:4659.58
IOPATH  A[2]       O[14]    1858.69:3249.75:5006.85  1894.32:3312.05:5102.83
IOPATH  A[2]       O[15]    1849.88:3234.35:4983.13  1854.95:3243.21:4996.78
IOPATH  A[3]       ACCUMCO  777.105:1358.7:2093.33   890.717:1557.34:2399.38
IOPATH  A[3]       CO       1058.54:1850.77:2851.46  1159.17:2026.7:3122.51
IOPATH  A[3]       O[3]     947.607:1656.81:2552.62  991.84:1734.15:2671.78
IOPATH  A[3]       O[4]     1148.3:2007.7:3093.24    1191.46:2083.16:3209.49
IOPATH  A[3]       O[5]     1266.32:2214.05:3411.17  1328.22:2322.27:3577.9
IOPATH  A[3]       O[6]     1309.16:2288.95:3526.56  1373.36:2401.2:3699.5
IOPATH  A[3]       O[7]     1352.83:2365.3:3644.19   1404.32:2455.33:3782.9
IOPATH  A[3]       O[8]     1574.97:2753.7:4242.6    1606.79:2809.32:4328.29
IOPATH  A[3]       O[9]     1604.34:2805.04:4321.69  1646.48:2878.73:4435.22
IOPATH  A[3]       O[10]    1663.67:2908.79:4481.54  1702.27:2976.27:4585.5
IOPATH  A[3]       O[11]    1756.54:3071.16:4731.71  1791.58:3132.42:4826.08
IOPATH  A[3]       O[12]    1697.14:2967.3:4571.69   1732.37:3028.9:4666.59
IOPATH  A[3]       O[13]    1721.46:3009.81:4637.19  1756.63:3071.32:4731.95
IOPATH  A[3]       O[14]    1885.55:3296.72:5079.22  1921.18:3359.02:5175.2
IOPATH  A[3]       O[15]    1876.74:3281.32:5055.5   1881.81:3290.19:5069.15
IOPATH  A[4]       ACCUMCO  788.202:1378.1:2123.23   985.703:1723.42:2655.25
IOPATH  A[4]       CO       1069.64:1870.17:2881.35  1254.15:2192.77:3378.38
IOPATH  A[4]       O[4]     1167.04:2040.46:3143.71  1210.19:2115.92:3259.97
IOPATH  A[4]       O[5]     1285.06:2246.81:3461.64  1346.95:2355.03:3628.37
IOPATH  A[4]       O[6]     1327.89:2321.71:3577.03  1392.1:2433.96:3749.97
IOPATH  A[4]       O[7]     1371.56:2398.06:3694.66  1423.06:2488.09:3833.37
IOPATH  A[4]       O[8]     1659.85:2902.11:4471.25  1691.67:2957.73:4556.95
IOPATH  A[4]       O[9]     1689.22:2953.45:4550.34  1731.36:3027.14:4663.87
IOPATH  A[4]       O[10]    1748.55:3057.2:4710.19   1787.15:3124.67:4814.15
IOPATH  A[4]       O[11]    1841.43:3219.57:4960.36  1876.46:3280.82:5054.73
IOPATH  A[4]       O[12]    1782.02:3115.71:4800.34  1817.25:3177.31:4895.24
IOPATH  A[4]       O[13]    1806.34:3158.22:4865.83  1841.52:3219.73:4960.6
IOPATH  A[4]       O[14]    1970.43:3445.13:5307.87  2006.07:3507.43:5403.86
IOPATH  A[4]       O[15]    1961.63:3429.73:5284.15  1966.69:3438.59:5297.8
IOPATH  A[5]       ACCUMCO  757.401:1324.25:2040.26  903.555:1579.79:2433.96
IOPATH  A[5]       CO       1038.84:1816.32:2798.38  1172:2049.15:3157.09
IOPATH  A[5]       O[5]     1235.88:2160.83:3329.17  1285.4:2247.4:3462.55
IOPATH  A[5]       O[6]     1336.52:2336.8:3600.27   1405.02:2456.56:3784.79
IOPATH  A[5]       O[7]     1454.48:2543.03:3918.01  1493.47:2611.2:4023.05
IOPATH  A[5]       O[8]     1773.72:3101.19:4777.96  1805.53:3156.81:4863.66
IOPATH  A[5]       O[9]     1803.08:3152.52:4857.06  1845.22:3226.21:4970.59
IOPATH  A[5]       O[10]    1862.42:3256.27:5016.9   1901.01:3323.75:5120.86
IOPATH  A[5]       O[11]    1955.29:3418.65:5267.07  1990.32:3479.9:5361.44
IOPATH  A[5]       O[12]    1895.88:3314.79:5107.05  1931.11:3376.38:5201.96
IOPATH  A[5]       O[13]    1920.2:3357.3:5172.55    1955.38:3418.8:5267.31
IOPATH  A[5]       O[14]    2084.29:3644.21:5614.59  2119.93:3706.51:5710.57
IOPATH  A[5]       O[15]    2075.49:3628.81:5590.86  2080.56:3637.67:5604.51
IOPATH  A[6]       ACCUMCO  829.265:1449.9:2233.84   952.919:1666.1:2566.94
IOPATH  A[6]       CO       1110.7:1941.96:2991.96   1221.37:2135.45:3290.07
IOPATH  A[6]       O[6]     1253.08:2190.9:3375.49   1321.58:2310.66:3560.01
IOPATH  A[6]       O[7]     1340.56:2343.86:3611.16  1379.56:2412.04:3716.2
IOPATH  A[6]       O[8]     1659.8:2902.02:4471.11   1691.62:2957.64:4556.8
IOPATH  A[6]       O[9]     1689.16:2953.36:4550.2   1731.31:3027.04:4663.73
IOPATH  A[6]       O[10]    1748.5:3057.1:4710.04    1787.1:3124.58:4814.01
IOPATH  A[6]       O[11]    1841.37:3219.48:4960.22  1876.41:3280.73:5054.59
IOPATH  A[6]       O[12]    1781.97:3115.62:4800.19  1817.2:3177.22:4895.1
IOPATH  A[6]       O[13]    1806.28:3158.13:4865.69  1841.46:3219.64:4960.46
IOPATH  A[6]       O[14]    1970.38:3445.04:5307.73  2006.01:3507.34:5403.71
IOPATH  A[6]       O[15]    1961.57:3429.64:5284.01  1966.64:3438.5:5297.66
IOPATH  A[7]       ACCUMCO  791.512:1383.89:2132.14  912.494:1595.42:2458.04
IOPATH  A[7]       CO       1072.95:1875.96:2890.27  1180.94:2064.77:3181.17
IOPATH  A[7]       O[7]     1363.24:2383.51:3672.25  1402.24:2451.69:3777.29
IOPATH  A[7]       O[8]     1682.48:2941.67:4532.2   1714.29:2997.29:4617.9
IOPATH  A[7]       O[9]     1711.84:2993.01:4611.29  1753.99:3066.7:4724.82
IOPATH  A[7]       O[10]    1771.18:3096.76:4771.14  1809.77:3164.23:4875.1
IOPATH  A[7]       O[11]    1864.05:3259.13:5021.31  1899.09:3320.38:5115.68
IOPATH  A[7]       O[12]    1804.65:3155.27:4861.29  1839.88:3216.87:4956.19
IOPATH  A[7]       O[13]    1828.96:3197.78:4926.78  1864.14:3259.29:5021.55
IOPATH  A[7]       O[14]    1993.06:3484.69:5368.82  2028.69:3546.99:5464.81
IOPATH  A[7]       O[15]    1984.25:3469.29:5345.1   1989.32:3478.15:5358.75
IOPATH  A[8]       ACCUMCO  772.671:1350.95:2081.39  900.977:1575.28:2427.01
IOPATH  A[8]       CO       1054.11:1843.02:2839.51  1169.42:2044.64:3150.15
IOPATH  A[8]       O[16]    816.475:1427.53:2199.39  889.607:1555.4:2396.39
IOPATH  A[8]       O[17]    894.291:1563.59:2409     966.838:1690.43:2604.43
IOPATH  A[8]       O[18]    948.816:1658.92:2555.88  1009.79:1765.53:2720.13
IOPATH  A[8]       O[19]    1114.61:1948.8:3002.5    1174.82:2054.07:3164.68
IOPATH  A[8]       O[20]    1157.14:2023.16:3117.05  1208.94:2113.72:3256.59
IOPATH  A[8]       O[21]    1155.33:2020:3112.19     1208.76:2113.42:3256.11
IOPATH  A[8]       O[22]    1189.21:2079.22:3203.44  1245.6:2177.82:3355.34
IOPATH  A[8]       O[23]    1222.96:2138.24:3294.36  1277.52:2233.62:3441.32
IOPATH  A[8]       O[24]    1398.36:2444.91:3766.85  1471.18:2572.22:3963
IOPATH  A[8]       O[25]    1442.61:2522.28:3886.04  1500.18:2622.93:4041.12
IOPATH  A[8]       O[26]    1500.46:2623.42:4041.87  1554.45:2717.82:4187.31
IOPATH  A[8]       O[27]    1553.24:2715.7:4184.05   1605.63:2807.3:4325.18
IOPATH  A[8]       O[28]    1522.56:2662.06:4101.4   1579.1:2760.92:4253.72
IOPATH  A[8]       O[29]    1593.68:2786.41:4292.99  1648.88:2882.92:4441.69
IOPATH  A[8]       O[30]    1640.35:2868.01:4418.72  1706.52:2983.71:4596.97
IOPATH  A[8]       O[31]    1679.09:2935.74:4523.06  1740.8:3043.64:4689.3
IOPATH  A[9]       ACCUMCO  830.296:1451.7:2236.62   957.035:1673.29:2578.02
IOPATH  A[9]       CO       1111.73:1943.77:2994.74  1225.48:2142.65:3301.16
IOPATH  A[9]       O[17]    844.477:1476.49:2274.82  927.081:1620.92:2497.33
IOPATH  A[9]       O[18]    1039.75:1817.92:2800.84  1096.17:1916.55:2952.81
IOPATH  A[9]       O[19]    1205.56:2107.81:3247.48  1265.76:2213.08:3409.66
IOPATH  A[9]       O[20]    1251.07:2187.38:3370.07  1294.37:2263.08:3486.71
IOPATH  A[9]       O[21]    1301.81:2276.1:3506.76   1355.24:2369.51:3650.68
IOPATH  A[9]       O[22]    1335.68:2335.32:3598     1392.07:2433.91:3749.9
IOPATH  A[9]       O[23]    1369.43:2394.33:3688.92  1423.99:2489.72:3835.88
IOPATH  A[9]       O[24]    1547.51:2705.68:4168.61  1620.32:2832.99:4364.75
IOPATH  A[9]       O[25]    1591.75:2783.04:4287.8   1649.32:2883.69:4442.87
IOPATH  A[9]       O[26]    1649.6:2884.18:4443.63   1703.59:2978.58:4589.07
IOPATH  A[9]       O[27]    1702.38:2976.47:4585.81  1754.77:3068.07:4726.93
IOPATH  A[9]       O[28]    1671.7:2922.82:4503.15   1728.25:3021.69:4655.48
IOPATH  A[9]       O[29]    1742.82:3047.17:4694.75  1798.02:3143.69:4843.44
IOPATH  A[9]       O[30]    1789.5:3128.78:4820.47   1855.67:3244.47:4998.72
IOPATH  A[9]       O[31]    1828.23:3196.5:4924.81   1889.94:3304.4:5091.05
IOPATH  A[10]      ACCUMCO  775.035:1355.08:2087.76  850.37:1486.8:2290.69
IOPATH  A[10]      CO       1056.47:1847.15:2845.88  1118.82:1956.16:3013.83
IOPATH  A[10]      O[18]    969.844:1695.69:2612.53  1026.39:1794.55:2764.85
IOPATH  A[10]      O[19]    1135.68:1985.64:3059.25  1195.89:2090.9:3221.43
IOPATH  A[10]      O[20]    1182.91:2068.21:3186.47  1228.24:2147.47:3308.59
IOPATH  A[10]      O[21]    1233.65:2156.93:3323.16  1287.08:2250.34:3467.08
IOPATH  A[10]      O[22]    1267.52:2216.15:3414.39  1323.91:2314.74:3566.29
IOPATH  A[10]      O[23]    1301.27:2275.16:3505.32  1355.83:2370.55:3652.28
IOPATH  A[10]      O[24]    1491.55:2607.85:4017.89  1564.37:2735.16:4214.04
IOPATH  A[10]      O[25]    1535.8:2685.21:4137.08   1593.37:2785.87:4292.15
IOPATH  A[10]      O[26]    1593.65:2786.36:4292.91  1647.64:2880.76:4438.35
IOPATH  A[10]      O[27]    1646.43:2878.64:4435.09  1698.82:2970.24:4576.21
IOPATH  A[10]      O[28]    1615.75:2824.99:4352.44  1672.3:2923.86:4504.76
IOPATH  A[10]      O[29]    1686.87:2949.35:4544.03  1742.07:3045.86:4692.72
IOPATH  A[10]      O[30]    1733.55:3030.95:4669.75  1799.72:3146.65:4848
IOPATH  A[10]      O[31]    1772.28:3098.68:4774.09  1833.99:3206.57:4940.33
IOPATH  A[11]      ACCUMCO  704.52:1231.79:1897.81   783.813:1370.43:2111.4
IOPATH  A[11]      CO       985.956:1723.86:2655.93  1052.26:1839.79:2834.54
IOPATH  A[11]      O[19]    1029.74:1800.4:2773.86   1077.46:1883.85:2902.43
IOPATH  A[11]      O[20]    1186.16:2073.9:3195.23   1225.64:2142.92:3301.58
IOPATH  A[11]      O[21]    1236.91:2162.62:3331.92  1290.33:2256.03:3475.84
IOPATH  A[11]      O[22]    1270.77:2221.84:3423.16  1327.16:2320.43:3575.06
IOPATH  A[11]      O[23]    1304.53:2280.85:3514.08  1359.08:2376.24:3661.04
IOPATH  A[11]      O[24]    1515.37:2649.49:4082.04  1588.19:2776.8:4278.19
IOPATH  A[11]      O[25]    1559.62:2726.85:4201.23  1617.18:2827.51:4356.31
IOPATH  A[11]      O[26]    1617.47:2828:4357.06     1671.46:2922.4:4502.5
IOPATH  A[11]      O[27]    1670.25:2920.28:4499.24  1722.64:3011.88:4640.37
IOPATH  A[11]      O[28]    1639.56:2866.63:4416.59  1696.11:2965.5:4568.92
IOPATH  A[11]      O[29]    1710.69:2990.99:4608.18  1765.89:3087.5:4756.88
IOPATH  A[11]      O[30]    1757.36:3072.59:4733.91  1823.53:3188.29:4912.16
IOPATH  A[11]      O[31]    1796.1:3140.32:4838.25   1857.81:3248.21:5004.49
IOPATH  A[12]      ACCUMCO  636.382:1112.66:1714.26  799.041:1397.05:2152.42
IOPATH  A[12]      CO       917.819:1604.73:2472.38  1067.49:1866.41:2875.56
IOPATH  A[12]      O[20]    1206.82:2110.02:3250.88  1246.3:2179.04:3357.23
IOPATH  A[12]      O[21]    1257.56:2198.74:3387.57  1310.99:2292.15:3531.49
IOPATH  A[12]      O[22]    1291.43:2257.96:3478.81  1347.82:2356.55:3630.71
IOPATH  A[12]      O[23]    1325.19:2316.97:3569.73  1379.74:2412.36:3716.69
IOPATH  A[12]      O[24]    1579.45:2761.53:4254.66  1652.27:2888.84:4450.81
IOPATH  A[12]      O[25]    1623.7:2838.89:4373.85   1681.27:2939.54:4528.92
IOPATH  A[12]      O[26]    1681.55:2940.04:4529.68  1735.54:3034.44:4675.12
IOPATH  A[12]      O[27]    1734.33:3032.32:4671.86  1786.72:3123.92:4812.98
IOPATH  A[12]      O[28]    1703.64:2978.67:4589.21  1760.19:3077.54:4741.53
IOPATH  A[12]      O[29]    1774.77:3103.03:4780.8   1829.97:3199.54:4929.5
IOPATH  A[12]      O[30]    1821.44:3184.63:4906.52  1887.61:3300.33:5084.78
IOPATH  A[12]      O[31]    1860.18:3252.35:5010.87  1921.89:3360.25:5177.1
IOPATH  A[13]      ACCUMCO  680.521:1189.83:1833.16  812.816:1421.14:2189.53
IOPATH  A[13]      CO       961.957:1681.9:2591.28   1081.26:1890.5:2912.66
IOPATH  A[13]      O[21]    1129.8:1975.35:3043.4    1172.29:2049.65:3157.87
IOPATH  A[13]      O[22]    1223.77:2139.65:3296.54  1285.52:2247.61:3462.87
IOPATH  A[13]      O[23]    1325.41:2317.36:3570.32  1366.94:2389.98:3682.22
IOPATH  A[13]      O[24]    1610.32:2815.51:4337.83  1683.14:2942.82:4533.98
IOPATH  A[13]      O[25]    1654.57:2892.87:4457.02  1712.14:2993.53:4612.09
IOPATH  A[13]      O[26]    1712.42:2994.02:4612.85  1766.41:3088.42:4758.29
IOPATH  A[13]      O[27]    1765.2:3086.3:4755.03    1817.59:3177.9:4896.15
IOPATH  A[13]      O[28]    1734.52:3032.65:4672.38  1791.07:3131.52:4824.7
IOPATH  A[13]      O[29]    1805.64:3157.01:4863.97  1860.84:3253.52:5012.66
IOPATH  A[13]      O[30]    1852.32:3238.61:4989.69  1918.49:3354.31:5167.94
IOPATH  A[13]      O[31]    1891.05:3306.34:5094.03  1952.76:3414.23:5260.27
IOPATH  A[14]      ACCUMCO  682.587:1193.44:1838.73  793.555:1387.46:2137.65
IOPATH  A[14]      CO       964.024:1685.51:2596.85  1062:1856.82:2860.78
IOPATH  A[14]      O[22]    1254.66:2193.67:3379.76  1316.41:2301.63:3546.09
IOPATH  A[14]      O[23]    1344.44:2350.64:3621.61  1385.98:2423.27:3733.5
IOPATH  A[14]      O[24]    1629.36:2848.8:4389.11   1702.18:2976.11:4585.26
IOPATH  A[14]      O[25]    1673.61:2926.16:4508.3   1731.18:3026.81:4663.38
IOPATH  A[14]      O[26]    1731.46:3027.3:4664.13   1785.45:3121.7:4809.57
IOPATH  A[14]      O[27]    1784.24:3119.59:4806.31  1836.63:3211.19:4947.44
IOPATH  A[14]      O[28]    1753.56:3065.94:4723.66  1810.1:3164.81:4875.98
IOPATH  A[14]      O[29]    1824.68:3190.29:4915.25  1879.88:3286.81:5063.95
IOPATH  A[14]      O[30]    1871.35:3271.9:5040.98   1937.53:3387.59:5219.23
IOPATH  A[14]      O[31]    1910.09:3339.62:5145.32  1971.8:3447.52:5311.56
IOPATH  A[15]      ACCUMCO  744.159:1301.1:2004.59   885.328:1547.92:2384.86
IOPATH  A[15]      CO       1025.6:1793.16:2762.71   1153.78:2017.28:3107.99
IOPATH  A[15]      O[23]    1351.43:2362.86:3640.44  1392.97:2435.49:3752.33
IOPATH  A[15]      O[24]    1636.35:2861.02:4407.94  1709.17:2988.33:4604.09
IOPATH  A[15]      O[25]    1680.6:2938.38:4527.13   1738.17:3039.03:4682.21
IOPATH  A[15]      O[26]    1738.45:3039.53:4682.96  1792.44:3133.93:4828.4
IOPATH  A[15]      O[27]    1791.23:3131.81:4825.14  1843.62:3223.41:4966.27
IOPATH  A[15]      O[28]    1760.55:3078.16:4742.49  1817.09:3177.03:4894.81
IOPATH  A[15]      O[29]    1831.67:3202.52:4934.08  1886.87:3299.03:5082.78
IOPATH  A[15]      O[30]    1878.34:3284.12:5059.81  1944.52:3399.82:5238.06
IOPATH  A[15]      O[31]    1917.08:3351.84:5164.15  1978.79:3459.74:5330.39
IOPATH  ADDSUBTOP  ACCUMCO  787.304:1376.53:2120.81  929.697:1625.49:2504.38
IOPATH  ADDSUBTOP  CO       225.799:394.79:608.248   220.814:386.074:594.82
IOPATH  B[0]       O[0]     797.723:1394.75:2148.87  889.662:1555.5:2396.53
IOPATH  B[0]       O[1]     868.031:1517.68:2338.27  949.483:1660.09:2557.68
IOPATH  B[0]       O[2]     1041.08:1820.24:2804.43  1098.28:1920.24:2958.49
IOPATH  B[0]       O[3]     1127.79:1971.85:3038.01  1184.74:2071.41:3191.4
IOPATH  B[0]       O[4]     1245.98:2178.49:3356.38  1289.14:2253.95:3472.63
IOPATH  B[0]       O[5]     1364.01:2384.84:3674.3   1425.9:2493.06:3841.04
IOPATH  B[0]       O[6]     1406.84:2459.74:3789.69  1471.04:2571.99:3962.63
IOPATH  B[0]       O[7]     1450.51:2536.09:3907.32  1502:2626.12:4046.03
IOPATH  B[0]       O[8]     1748.28:3056.71:4709.44  1780.09:3112.33:4795.14
IOPATH  B[0]       O[9]     1777.64:3108.05:4788.54  1819.79:3181.74:4902.07
IOPATH  B[0]       O[10]    1836.98:3211.8:4948.38   1875.57:3279.28:5052.34
IOPATH  B[0]       O[11]    1929.85:3374.17:5198.55  1964.88:3435.43:5292.92
IOPATH  B[0]       O[12]    1870.45:3270.31:5038.53  1905.68:3331.91:5133.43
IOPATH  B[0]       O[13]    1894.76:3312.82:5104.03  1929.94:3374.33:5198.79
IOPATH  B[0]       O[14]    2058.86:3599.73:5546.07  2094.49:3662.03:5642.05
IOPATH  B[0]       O[15]    2050.05:3584.33:5522.34  2055.12:3593.2:5535.99
IOPATH  B[1]       O[0]     843.234:1474.32:2271.47  915.124:1600.01:2465.12
IOPATH  B[1]       O[1]     913.577:1597.31:2460.96  987.599:1726.73:2660.35
IOPATH  B[1]       O[2]     1172.17:2049.43:3157.54  1229.37:2149.44:3311.62
IOPATH  B[1]       O[3]     1258.88:2201.04:3391.12  1315.82:2300.6:3544.51
IOPATH  B[1]       O[4]     1388.15:2427.06:3739.34  1431.31:2502.51:3855.6
IOPATH  B[1]       O[5]     1506.17:2633.41:4057.27  1568.07:2741.63:4224
IOPATH  B[1]       O[6]     1549.01:2708.31:4172.66  1613.21:2820.56:4345.6
IOPATH  B[1]       O[7]     1592.68:2784.66:4290.29  1644.17:2874.69:4429
IOPATH  B[1]       O[8]     1866.11:3262.73:5026.86  1897.93:3318.36:5112.55
IOPATH  B[1]       O[9]     1895.47:3314.07:5105.95  1937.62:3387.76:5219.48
IOPATH  B[1]       O[10]    1954.81:3417.82:5265.79  1993.41:3485.3:5369.75
IOPATH  B[1]       O[11]    2047.68:3580.19:5515.96  2082.72:3641.45:5610.33
IOPATH  B[1]       O[12]    1988.28:3476.33:5355.95  2023.51:3537.93:5450.85
IOPATH  B[1]       O[13]    2012.59:3518.84:5421.44  2047.77:3580.35:5516.21
IOPATH  B[1]       O[14]    2176.69:3805.76:5863.48  2212.32:3868.05:5959.46
IOPATH  B[1]       O[15]    2167.88:3790.35:5839.76  2172.95:3799.22:5853.41
IOPATH  B[2]       O[2]     1069.93:1870.67:2882.12  1127.13:1970.68:3036.2
IOPATH  B[2]       O[3]     1156.63:2022.27:3115.68  1213.57:2121.83:3269.07
IOPATH  B[2]       O[4]     1289.14:2253.94:3472.62  1332.29:2329.4:3588.88
IOPATH  B[2]       O[5]     1407.16:2460.3:3790.55   1469.06:2568.52:3957.28
IOPATH  B[2]       O[6]     1450:2535.19:3905.94     1514.2:2647.44:4078.88
IOPATH  B[2]       O[7]     1493.66:2611.54:4023.57  1545.16:2701.57:4162.28
IOPATH  B[2]       O[8]     1812.68:3169.32:4882.93  1844.5:3224.94:4968.63
IOPATH  B[2]       O[9]     1842.04:3220.65:4962.02  1884.19:3294.34:5075.55
IOPATH  B[2]       O[10]    1901.38:3324.4:5121.87   1939.98:3391.88:5225.83
IOPATH  B[2]       O[11]    1994.25:3486.78:5372.04  2029.29:3548.03:5466.41
IOPATH  B[2]       O[12]    1934.85:3382.91:5212.02  1970.08:3444.51:5306.92
IOPATH  B[2]       O[13]    1959.16:3425.43:5277.51  1994.34:3486.93:5372.28
IOPATH  B[2]       O[14]    2123.26:3712.34:5719.55  2158.89:3774.63:5815.54
IOPATH  B[2]       O[15]    2114.45:3696.94:5695.83  2119.52:3705.8:5709.48
IOPATH  B[3]       O[2]     963.83:1685.17:2596.33   1021.03:1785.18:2750.41
IOPATH  B[3]       O[3]     1125.6:1968.01:3032.08   1169.84:2045.36:3151.26
IOPATH  B[3]       O[4]     1324.75:2316.21:3568.56  1367.91:2391.67:3684.81
IOPATH  B[3]       O[5]     1442.77:2522.56:3886.49  1504.67:2630.78:4053.22
IOPATH  B[3]       O[6]     1485.61:2597.46:4001.88  1549.81:2709.71:4174.82
IOPATH  B[3]       O[7]     1529.28:2673.81:4119.51  1580.77:2763.84:4258.22
IOPATH  B[3]       O[8]     1848.3:3231.58:4978.87   1880.11:3287.21:5064.56
IOPATH  B[3]       O[9]     1877.66:3282.92:5057.96  1919.8:3356.61:5171.49
IOPATH  B[3]       O[10]    1937:3386.67:5217.8      1975.59:3454.15:5321.76
IOPATH  B[3]       O[11]    2029.87:3549.04:5467.97  2064.9:3610.3:5562.34
IOPATH  B[3]       O[12]    1970.46:3445.18:5307.95  2005.69:3506.78:5402.86
IOPATH  B[3]       O[13]    1994.78:3487.69:5373.45  2029.96:3549.2:5468.21
IOPATH  B[3]       O[14]    2158.88:3774.61:5815.49  2194.51:3836.9:5911.47
IOPATH  B[3]       O[15]    2150.07:3759.21:5791.76  2155.14:3768.07:5805.42
IOPATH  B[4]       O[4]     1162.5:2032.54:3131.5    1205.66:2107.99:3247.76
IOPATH  B[4]       O[5]     1280.53:2238.89:3449.43  1342.42:2347.11:3616.16
IOPATH  B[4]       O[6]     1325.72:2317.91:3571.17  1394.22:2437.67:3755.69
IOPATH  B[4]       O[7]     1422.87:2487.76:3832.87  1461.86:2555.94:3937.91
IOPATH  B[4]       O[8]     1742.11:3045.93:4692.82  1773.92:3101.55:4778.52
IOPATH  B[4]       O[9]     1771.47:3097.26:4771.91  1813.62:3170.95:4885.44
IOPATH  B[4]       O[10]    1830.81:3201.01:4931.76  1869.4:3268.49:5035.72
IOPATH  B[4]       O[11]    1923.68:3363.38:5181.93  1958.71:3424.64:5276.3
IOPATH  B[4]       O[12]    1864.28:3259.52:5021.91  1899.51:3321.12:5116.81
IOPATH  B[4]       O[13]    1888.59:3302.03:5087.4   1923.77:3363.54:5182.17
IOPATH  B[4]       O[14]    2052.69:3588.95:5529.45  2088.32:3651.24:5625.43
IOPATH  B[4]       O[15]    2043.88:3573.55:5505.72  2048.95:3582.41:5519.37
IOPATH  B[5]       O[4]     1148.86:2008.68:3094.75  1192.02:2084.14:3211.01
IOPATH  B[5]       O[5]     1266.88:2215.04:3412.68  1328.78:2323.26:3579.41
IOPATH  B[5]       O[6]     1368.72:2393.09:3687     1437.22:2512.85:3871.52
IOPATH  B[5]       O[7]     1465.47:2562.25:3947.63  1504.47:2630.43:4052.67
IOPATH  B[5]       O[8]     1784.71:3120.41:4807.58  1816.52:3176.03:4893.28
IOPATH  B[5]       O[9]     1814.07:3171.75:4886.67  1856.22:3245.43:5000.2
IOPATH  B[5]       O[10]    1873.41:3275.49:5046.52  1912:3342.97:5150.48
IOPATH  B[5]       O[11]    1966.28:3437.87:5296.69  2001.32:3499.12:5391.06
IOPATH  B[5]       O[12]    1952.45:3413.7:5259.44   1987.69:3475.31:5354.36
IOPATH  B[5]       O[13]    1976.77:3456.21:5324.94  2011.95:3517.72:5419.7
IOPATH  B[5]       O[14]    2140.87:3743.12:5766.98  2176.5:3805.42:5862.96
IOPATH  B[5]       O[15]    2132.06:3727.72:5743.25  2137.13:3736.58:5756.91
IOPATH  B[6]       O[6]     1146.18:2004:3087.54     1214.68:2123.76:3272.05
IOPATH  B[6]       O[7]     1205.42:2107.57:3247.1   1257.93:2199.39:3388.57
IOPATH  B[6]       O[8]     1524.65:2665.72:4107.05  1556.47:2721.35:4192.75
IOPATH  B[6]       O[9]     1554.02:2717.06:4186.14  1596.16:2790.75:4299.67
IOPATH  B[6]       O[10]    1613.89:2821.75:4347.44  1656.12:2895.58:4461.18
IOPATH  B[6]       O[11]    1706.76:2984.12:4597.6   1741.79:3045.38:4691.97
IOPATH  B[6]       O[12]    1792.71:3134.4:4829.14   1827.95:3196.01:4924.06
IOPATH  B[6]       O[13]    1817.03:3176.92:4894.64  1852.21:3238.42:4989.4
IOPATH  B[6]       O[14]    1981.13:3463.83:5336.68  2016.76:3526.12:5432.66
IOPATH  B[6]       O[15]    1972.32:3448.43:5312.95  1977.39:3457.29:5326.6
IOPATH  B[7]       O[6]     1093.21:1911.38:2944.84  1161.7:2031.14:3129.35
IOPATH  B[7]       O[7]     1152.47:2014.99:3104.47  1204.96:2106.76:3245.87
IOPATH  B[7]       O[8]     1555.09:2718.95:4189.05  1574.37:2752.64:4240.96
IOPATH  B[7]       O[9]     1636.68:2861.59:4408.81  1683.45:2943.37:4534.82
IOPATH  B[7]       O[10]    1708.06:2986.4:4601.11   1750.29:3060.23:4714.86
IOPATH  B[7]       O[11]    1800.93:3148.77:4851.28  1835.97:3210.02:4945.65
IOPATH  B[7]       O[12]    1886.88:3299.05:5082.81  1922.12:3360.66:5177.73
IOPATH  B[7]       O[13]    1911.2:3341.56:5148.31   1946.38:3403.07:5243.07
IOPATH  B[7]       O[14]    2075.3:3628.48:5590.35   2110.93:3690.77:5686.33
IOPATH  B[7]       O[15]    2066.49:3613.07:5566.62  2071.56:3621.94:5580.27
IOPATH  B[8]       O[16]    799.014:1397.01:2152.35  872.19:1524.95:2349.47
IOPATH  B[8]       O[17]    876.83:1533.06:2361.97   949.421:1659.98:2557.51
IOPATH  B[8]       O[18]    1045.15:1827.36:2815.39  1101.7:1926.22:2967.71
IOPATH  B[8]       O[19]    1211:2117.33:3262.14     1271.2:2222.59:3424.32
IOPATH  B[8]       O[20]    1278.93:2236.1:3445.14   1318.41:2305.13:3551.48
IOPATH  B[8]       O[21]    1329.68:2324.82:3581.83  1383.1:2418.24:3725.75
IOPATH  B[8]       O[22]    1363.55:2384.04:3673.06  1419.93:2482.63:3824.96
IOPATH  B[8]       O[23]    1403.08:2453.17:3779.57  1451.85:2538.44:3910.95
IOPATH  B[8]       O[24]    1688:2951.32:4547.07     1760.82:3078.64:4743.22
IOPATH  B[8]       O[25]    1732.25:3028.69:4666.26  1789.82:3129.34:4821.33
IOPATH  B[8]       O[26]    1790.1:3129.83:4822.09   1844.09:3224.23:4967.53
IOPATH  B[8]       O[27]    1842.88:3222.11:4964.27  1895.27:3313.71:5105.39
IOPATH  B[8]       O[28]    1812.2:3168.47:4881.62   1868.74:3267.33:5033.94
IOPATH  B[8]       O[29]    1883.32:3292.82:5073.21  1938.52:3389.33:5221.91
IOPATH  B[8]       O[30]    1929.99:3374.42:5198.94  1996.16:3490.12:5377.19
IOPATH  B[8]       O[31]    1968.73:3442.15:5303.28  2030.44:3550.05:5469.51
IOPATH  B[9]       O[16]    890.459:1556.89:2398.68  944.762:1651.83:2544.96
IOPATH  B[9]       O[17]    968.315:1693.01:2608.41  1037.55:1814.07:2794.92
IOPATH  B[9]       O[18]    1206.83:2110.03:3250.9   1263.38:2208.91:3403.24
IOPATH  B[9]       O[19]    1372.67:2400:3697.65     1432.88:2505.26:3859.83
IOPATH  B[9]       O[20]    1466.16:2563.46:3949.49  1505.64:2632.48:4055.83
IOPATH  B[9]       O[21]    1516.91:2652.18:4086.18  1570.33:2745.59:4230.1
IOPATH  B[9]       O[22]    1550.78:2711.39:4177.41  1607.16:2809.99:4329.31
IOPATH  B[9]       O[23]    1584.53:2770.41:4268.34  1639.08:2865.8:4415.3
IOPATH  B[9]       O[24]    1838.73:3214.86:4953.11  1911.55:3342.18:5149.25
IOPATH  B[9]       O[25]    1882.98:3292.23:5072.3   1940.55:3392.88:5227.37
IOPATH  B[9]       O[26]    1940.83:3393.37:5228.12  1994.82:3487.77:5373.57
IOPATH  B[9]       O[27]    1993.61:3485.65:5370.31  2046:3577.25:5511.43
IOPATH  B[9]       O[28]    1962.93:3432.01:5287.65  2019.47:3530.88:5439.98
IOPATH  B[9]       O[29]    2034.05:3556.36:5479.25  2089.25:3652.87:5627.94
IOPATH  B[9]       O[30]    2080.72:3637.97:5604.97  2146.9:3753.66:5783.22
IOPATH  B[9]       O[31]    2119.46:3705.69:5709.31  2181.17:3813.59:5875.55
IOPATH  B[10]      O[18]    1070.93:1872.42:2884.82  1127.48:1971.3:3037.15
IOPATH  B[10]      O[19]    1236.77:2162.38:3331.55  1296.97:2267.64:3493.73
IOPATH  B[10]      O[20]    1355.65:2370.24:3651.8   1395.13:2439.26:3758.14
IOPATH  B[10]      O[21]    1406.39:2458.96:3788.49  1459.82:2552.37:3932.41
IOPATH  B[10]      O[22]    1440.26:2518.17:3879.72  1496.65:2616.77:4031.62
IOPATH  B[10]      O[23]    1489.94:2605.03:4013.54  1531.48:2677.66:4125.43
IOPATH  B[10]      O[24]    1774.86:3103.18:4781.04  1847.67:3230.49:4977.19
IOPATH  B[10]      O[25]    1819.11:3180.55:4900.23  1876.67:3281.2:5055.3
IOPATH  B[10]      O[26]    1876.95:3281.69:5056.06  1930.95:3376.09:5201.5
IOPATH  B[10]      O[27]    1929.73:3373.97:5198.24  1982.12:3465.57:5339.36
IOPATH  B[10]      O[28]    1899.05:3320.32:5115.59  1955.6:3419.19:5267.91
IOPATH  B[10]      O[29]    1970.18:3444.68:5307.18  2025.38:3541.19:5455.88
IOPATH  B[10]      O[30]    2016.85:3526.28:5432.9   2083.02:3641.98:5611.16
IOPATH  B[10]      O[31]    2055.58:3594.01:5537.25  2117.3:3701.91:5703.48
IOPATH  B[11]      O[18]    981.118:1715.4:2642.89   1037.67:1814.28:2795.23
IOPATH  B[11]      O[19]    1263.57:2209.24:3403.76  1311.3:2292.69:3532.32
IOPATH  B[11]      O[20]    1419.13:2481.22:3822.79  1458.61:2550.24:3929.13
IOPATH  B[11]      O[21]    1469.87:2569.94:3959.48  1523.3:2663.35:4103.4
IOPATH  B[11]      O[22]    1503.74:2629.16:4050.71  1560.13:2727.75:4202.61
IOPATH  B[11]      O[23]    1553.41:2716.01:4184.52  1594.95:2788.64:4296.42
IOPATH  B[11]      O[24]    1838.33:3214.16:4952.03  1911.15:3341.48:5148.17
IOPATH  B[11]      O[25]    1882.58:3291.53:5071.22  1940.15:3392.18:5226.29
IOPATH  B[11]      O[26]    1940.43:3392.67:5227.05  1994.42:3487.07:5372.49
IOPATH  B[11]      O[27]    1993.21:3484.95:5369.23  2045.6:3576.55:5510.35
IOPATH  B[11]      O[28]    1962.53:3431.31:5286.57  2019.07:3530.18:5438.9
IOPATH  B[11]      O[29]    2033.65:3555.66:5478.17  2088.85:3652.17:5626.86
IOPATH  B[11]      O[30]    2080.32:3637.27:5603.89  2146.5:3752.96:5782.14
IOPATH  B[11]      O[31]    2119.06:3704.99:5708.24  2180.77:3812.89:5874.47
IOPATH  B[12]      O[20]    1233.92:2157.41:3323.89  1273.4:2226.43:3430.23
IOPATH  B[12]      O[21]    1284.67:2246.13:3460.58  1338.09:2339.54:3604.5
IOPATH  B[12]      O[22]    1344.43:2350.61:3621.56  1406.18:2458.57:3787.9
IOPATH  B[12]      O[23]    1443.55:2523.92:3888.58  1485.09:2596.55:4000.47
IOPATH  B[12]      O[24]    1728.47:3022.08:4656.08  1801.28:3149.39:4852.23
IOPATH  B[12]      O[25]    1772.72:3099.44:4775.27  1830.28:3200.09:4930.34
IOPATH  B[12]      O[26]    1830.56:3200.58:4931.1   1884.56:3294.98:5076.54
IOPATH  B[12]      O[27]    1883.35:3292.86:5073.28  1935.74:3384.46:5214.4
IOPATH  B[12]      O[28]    1852.66:3239.22:4990.63  1909.21:3338.09:5142.95
IOPATH  B[12]      O[29]    1923.79:3363.57:5182.22  1978.99:3460.09:5330.92
IOPATH  B[12]      O[30]    1970.46:3445.18:5307.94  2036.63:3560.87:5486.2
IOPATH  B[12]      O[31]    2009.19:3512.9:5412.29   2070.91:3620.8:5578.52
IOPATH  B[13]      O[20]    1204.3:2105.61:3244.08   1243.77:2174.63:3350.42
IOPATH  B[13]      O[21]    1255.04:2194.33:3380.77  1308.47:2287.74:3524.69
IOPATH  B[13]      O[22]    1340.11:2343.06:3609.92  1401.85:2451.02:3776.25
IOPATH  B[13]      O[23]    1438.87:2515.74:3875.97  1480.41:2588.36:3987.86
IOPATH  B[13]      O[24]    1723.79:3013.89:4643.47  1796.6:3141.2:4839.62
IOPATH  B[13]      O[25]    1768.04:3091.25:4762.66  1825.6:3191.9:4917.73
IOPATH  B[13]      O[26]    1825.88:3192.4:4918.49   1879.87:3286.8:5063.93
IOPATH  B[13]      O[27]    1878.66:3284.68:5060.67  1931.05:3376.28:5201.79
IOPATH  B[13]      O[28]    1867.08:3264.43:5029.47  1923.63:3363.3:5181.8
IOPATH  B[13]      O[29]    1938.2:3388.78:5221.06   1993.41:3485.3:5369.75
IOPATH  B[13]      O[30]    1984.88:3470.38:5346.78  2051.05:3586.08:5525.03
IOPATH  B[13]      O[31]    2023.61:3538.11:5451.12  2085.32:3646.01:5617.36
IOPATH  B[14]      O[22]    1082.59:1892.82:2916.25  1144.34:2000.77:3082.57
IOPATH  B[14]      O[23]    1154.87:2019.19:3110.95  1196.42:2091.83:3222.86
IOPATH  B[14]      O[24]    1439.79:2517.35:3878.45  1512.61:2644.66:4074.6
IOPATH  B[14]      O[25]    1484.04:2594.71:3997.64  1541.61:2695.36:4152.72
IOPATH  B[14]      O[26]    1541.89:2695.86:4153.47  1595.88:2790.26:4298.92
IOPATH  B[14]      O[27]    1594.67:2788.14:4295.65  1647.06:2879.74:4436.78
IOPATH  B[14]      O[28]    1700.74:2973.6:4581.38   1757.29:3072.47:4733.72
IOPATH  B[14]      O[29]    1771.87:3097.95:4772.98  1827.07:3194.46:4921.67
IOPATH  B[14]      O[30]    1818.54:3179.55:4898.7   1884.71:3295.25:5076.95
IOPATH  B[14]      O[31]    1857.27:3247.28:5003.04  1918.98:3355.18:5169.28
IOPATH  B[15]      O[22]    1234.08:2157.68:3324.31  1295.82:2265.63:3490.64
IOPATH  B[15]      O[23]    1306.38:2284.1:3519.08   1347.93:2356.73:3630.99
IOPATH  B[15]      O[24]    1644.82:2875.82:4430.74  1704.77:2980.64:4592.24
IOPATH  B[15]      O[25]    1741.43:3044.75:4691     1804.65:3155.27:4861.28
IOPATH  B[15]      O[26]    1811.26:3166.83:4879.1   1869.47:3268.61:5035.91
IOPATH  B[15]      O[27]    1864.04:3259.11:5021.28  1916.43:3350.71:5162.4
IOPATH  B[15]      O[28]    1989.98:3479.31:5360.53  2046.54:3578.19:5512.87
IOPATH  B[15]      O[29]    2061.11:3603.66:5552.12  2116.31:3700.18:5700.82
IOPATH  B[15]      O[30]    2107.78:3685.27:5677.85  2173.95:3800.96:5856.1
IOPATH  B[15]      O[31]    2146.52:3752.99:5782.19  2208.23:3860.89:5948.43

CELL SB_MAC16_MUL_U_16X16_IM_BYPASS
HOLD      negedge:A[0]       posedge:CLK  110.748:193.633:298.328
HOLD      negedge:A[1]       posedge:CLK  109.024:190.62:293.686
HOLD      negedge:A[2]       posedge:CLK  106.197:185.676:286.069
HOLD      negedge:A[3]       posedge:CLK  106.3:185.856:286.347
HOLD      negedge:A[4]       posedge:CLK  107.158:187.357:288.658
HOLD      negedge:A[5]       posedge:CLK  90.4062:158.067:243.533
HOLD      negedge:A[6]       posedge:CLK  108.701:190.055:292.816
HOLD      negedge:A[7]       posedge:CLK  103.638:181.201:279.175
HOLD      negedge:A[8]       posedge:CLK  88.5624:154.844:238.566
HOLD      negedge:A[9]       posedge:CLK  82.9013:144.946:223.316
HOLD      negedge:A[10]      posedge:CLK  87.3323:152.693:235.252
HOLD      negedge:A[11]      posedge:CLK  85.0878:148.769:229.206
HOLD      negedge:A[12]      posedge:CLK  84.4245:147.609:227.419
HOLD      negedge:A[13]      posedge:CLK  84.4668:147.683:227.533
HOLD      negedge:A[14]      posedge:CLK  82.0173:143.4:220.935
HOLD      negedge:A[15]      posedge:CLK  89.7533:156.926:241.774
HOLD      negedge:ADDSUBBOT  posedge:CLK  33.9157:59.2987:91.3608
HOLD      negedge:ADDSUBTOP  posedge:CLK  31.6653:55.3641:85.2988
HOLD      negedge:AHOLD      posedge:CLK  99.8238:174.533:268.901
HOLD      negedge:B[0]       posedge:CLK  97.9992:171.343:263.986
HOLD      negedge:B[1]       posedge:CLK  100.797:176.234:271.522
HOLD      negedge:B[2]       posedge:CLK  95.8796:167.637:258.276
HOLD      negedge:B[3]       posedge:CLK  98.2214:171.732:264.585
HOLD      negedge:B[4]       posedge:CLK  99.1251:173.312:267.019
HOLD      negedge:B[5]       posedge:CLK  92.5696:161.85:249.36
HOLD      negedge:B[6]       posedge:CLK  90.3049:157.89:243.26
HOLD      negedge:B[7]       posedge:CLK  90.4082:158.071:243.538
HOLD      negedge:B[8]       posedge:CLK  89.4381:156.375:240.925
HOLD      negedge:B[9]       posedge:CLK  95.4897:166.955:257.226
HOLD      negedge:B[10]      posedge:CLK  82.0453:143.449:221.01
HOLD      negedge:B[11]      posedge:CLK  87.8741:153.64:236.712
HOLD      negedge:B[12]      posedge:CLK  92.8081:162.267:250.003
HOLD      negedge:B[13]      posedge:CLK  87.9365:153.749:236.88
HOLD      negedge:B[14]      posedge:CLK  83.4638:145.929:224.831
HOLD      negedge:B[15]      posedge:CLK  96.708:169.086:260.508
HOLD      negedge:BHOLD      posedge:CLK  87.1095:152.303:234.652
HOLD      negedge:C[0]       posedge:CLK  117.028:204.613:315.245
HOLD      negedge:C[1]       posedge:CLK  118.587:207.339:319.445
HOLD      negedge:C[2]       posedge:CLK  116.098:202.987:312.739
HOLD      negedge:C[3]       posedge:CLK  114.747:200.625:309.101
HOLD      negedge:C[4]       posedge:CLK  115.462:201.875:311.027
HOLD      negedge:C[5]       posedge:CLK  116.186:203.141:312.978
HOLD      negedge:C[6]       posedge:CLK  115.685:202.265:311.628
HOLD      negedge:C[7]       posedge:CLK  118.049:206.399:317.996
HOLD      negedge:C[8]       posedge:CLK  116.568:203.809:314.005
HOLD      negedge:C[9]       posedge:CLK  115.634:202.176:311.49
HOLD      negedge:C[10]      posedge:CLK  114.576:200.327:308.641
HOLD      negedge:C[11]      posedge:CLK  116.01:202.834:312.504
HOLD      negedge:C[12]      posedge:CLK  117.593:205.602:316.768
HOLD      negedge:C[13]      posedge:CLK  116.004:202.823:312.488
HOLD      negedge:C[14]      posedge:CLK  115.659:202.22:311.558
HOLD      negedge:C[15]      posedge:CLK  115.814:202.49:311.974
HOLD      negedge:CHOLD      posedge:CLK  105.921:185.194:285.326
HOLD      negedge:D[0]       posedge:CLK  93.8499:164.088:252.809
HOLD      negedge:D[1]       posedge:CLK  91.1157:159.308:245.444
HOLD      negedge:D[2]       posedge:CLK  90.5242:158.274:243.85
HOLD      negedge:D[3]       posedge:CLK  88.619:154.943:238.718
HOLD      negedge:D[4]       posedge:CLK  83.8163:146.545:225.781
HOLD      negedge:D[5]       posedge:CLK  91.8198:160.539:247.34
HOLD      negedge:D[6]       posedge:CLK  91.8916:160.664:247.534
HOLD      negedge:D[7]       posedge:CLK  89.0974:155.779:240.007
HOLD      negedge:D[8]       posedge:CLK  122.3:213.831:329.447
HOLD      negedge:D[9]       posedge:CLK  84.9005:148.441:228.701
HOLD      negedge:D[10]      posedge:CLK  124.376:217.46:335.038
HOLD      negedge:D[11]      posedge:CLK  125.995:220.291:339.401
HOLD      negedge:D[12]      posedge:CLK  124.859:218.305:336.34
HOLD      negedge:D[13]      posedge:CLK  122.319:213.865:329.499
HOLD      negedge:D[14]      posedge:CLK  87.406:152.822:235.451
HOLD      negedge:D[15]      posedge:CLK  127.656:223.195:343.873
HOLD      negedge:DHOLD      posedge:CLK  115.051:201.157:309.921
HOLD      negedge:OHOLDBOT   posedge:CLK  73.5005:128.509:197.993
HOLD      negedge:OHOLDTOP   posedge:CLK  77.3316:135.208:208.313
HOLD      negedge:OLOADBOT   posedge:CLK  95.6549:167.244:257.671
HOLD      negedge:OLOADTOP   posedge:CLK  87.8451:153.589:236.634
HOLD      posedge:A[0]       posedge:CLK  85.7097:149.856:230.881
HOLD      posedge:A[1]       posedge:CLK  84.2347:147.277:226.908
HOLD      posedge:A[2]       posedge:CLK  80.3687:140.518:216.494
HOLD      posedge:A[3]       posedge:CLK  80.0702:139.996:215.69
HOLD      posedge:A[4]       posedge:CLK  81.8516:143.11:220.488
HOLD      posedge:A[5]       posedge:CLK  69.012:120.661:185.902
HOLD      posedge:A[6]       posedge:CLK  82.5748:144.375:222.437
HOLD      posedge:A[7]       posedge:CLK  78.31:136.918:210.948
HOLD      posedge:A[8]       posedge:CLK  67.9357:118.78:183.002
HOLD      posedge:A[9]       posedge:CLK  63.8627:111.658:172.031
HOLD      posedge:A[10]      posedge:CLK  67.2537:117.587:181.165
HOLD      posedge:A[11]      posedge:CLK  65.436:114.409:176.269
HOLD      posedge:A[12]      posedge:CLK  65.4616:114.454:176.338
HOLD      posedge:A[13]      posedge:CLK  64.7713:113.247:174.478
HOLD      posedge:A[14]      posedge:CLK  61.6364:107.766:166.034
HOLD      posedge:A[15]      posedge:CLK  68.3521:119.508:184.124
HOLD      posedge:ADDSUBBOT  posedge:CLK  28.5314:49.8847:76.8567
HOLD      posedge:ADDSUBTOP  posedge:CLK  25.5528:44.6769:68.8332
HOLD      posedge:AHOLD      posedge:CLK  80.3569:140.497:216.462
HOLD      posedge:B[0]       posedge:CLK  78.3086:136.916:210.944
HOLD      posedge:B[1]       posedge:CLK  79.4822:138.968:214.106
HOLD      posedge:B[2]       posedge:CLK  75.7681:132.474:204.101
HOLD      posedge:B[3]       posedge:CLK  77.8346:136.087:209.668
HOLD      posedge:B[4]       posedge:CLK  79.2472:138.557:213.473
HOLD      posedge:B[5]       posedge:CLK  71.6385:125.254:192.977
HOLD      posedge:B[6]       posedge:CLK  69.5818:121.658:187.437
HOLD      posedge:B[7]       posedge:CLK  69.9968:122.383:188.555
HOLD      posedge:B[8]       posedge:CLK  68.4534:119.685:184.397
HOLD      posedge:B[9]       posedge:CLK  74.2945:129.897:200.132
HOLD      posedge:B[10]      posedge:CLK  63.8233:111.59:171.925
HOLD      posedge:B[11]      posedge:CLK  66.9735:117.097:180.41
HOLD      posedge:B[12]      posedge:CLK  71.9478:125.794:193.81
HOLD      posedge:B[13]      posedge:CLK  67.62:118.228:182.152
HOLD      posedge:B[14]      posedge:CLK  63.8715:111.674:172.054
HOLD      posedge:B[15]      posedge:CLK  75.6609:132.286:203.812
HOLD      posedge:BHOLD      posedge:CLK  72.0648:125.999:194.125
HOLD      posedge:C[0]       posedge:CLK  96.5222:168.761:260.007
HOLD      posedge:C[1]       posedge:CLK  98.1496:171.606:264.391
HOLD      posedge:C[2]       posedge:CLK  95.3898:166.781:256.957
HOLD      posedge:C[3]       posedge:CLK  95.1755:166.406:256.38
HOLD      posedge:C[4]       posedge:CLK  95.2915:166.609:256.692
HOLD      posedge:C[5]       posedge:CLK  96.0452:167.927:258.723
HOLD      posedge:C[6]       posedge:CLK  93.3258:163.172:251.397
HOLD      posedge:C[7]       posedge:CLK  97.2051:169.955:261.847
HOLD      posedge:C[8]       posedge:CLK  95.3171:166.654:256.761
HOLD      posedge:C[9]       posedge:CLK  93.9104:164.194:252.972
HOLD      posedge:C[10]      posedge:CLK  92.6011:161.905:249.445
HOLD      posedge:C[11]      posedge:CLK  95.0496:166.186:256.041
HOLD      posedge:C[12]      posedge:CLK  97.0532:169.689:261.438
HOLD      posedge:C[13]      posedge:CLK  94.8544:165.845:255.515
HOLD      posedge:C[14]      posedge:CLK  93.6616:163.759:252.302
HOLD      posedge:C[15]      posedge:CLK  95.674:167.278:257.723
HOLD      posedge:CHOLD      posedge:CLK  90.7543:158.676:244.47
HOLD      posedge:D[0]       posedge:CLK  73.7198:128.893:198.583
HOLD      posedge:D[1]       posedge:CLK  70.7638:123.724:190.621
HOLD      posedge:D[2]       posedge:CLK  68.2548:119.338:183.862
HOLD      posedge:D[3]       posedge:CLK  67.0959:117.311:180.74
HOLD      posedge:D[4]       posedge:CLK  63.6748:111.33:171.525
HOLD      posedge:D[5]       posedge:CLK  70.8135:123.811:190.754
HOLD      posedge:D[6]       posedge:CLK  72.5363:126.823:195.395
HOLD      posedge:D[7]       posedge:CLK  69.0685:120.76:186.054
HOLD      posedge:D[8]       posedge:CLK  101.038:176.656:272.171
HOLD      posedge:D[9]       posedge:CLK  65.8013:115.048:177.253
HOLD      posedge:D[10]      posedge:CLK  100.567:175.832:270.902
HOLD      posedge:D[11]      posedge:CLK  102.772:179.688:276.843
HOLD      posedge:D[12]      posedge:CLK  101.446:177.369:273.271
HOLD      posedge:D[13]      posedge:CLK  99.0125:173.115:266.716
HOLD      posedge:D[14]      posedge:CLK  68.1491:119.153:183.577
HOLD      posedge:D[15]      posedge:CLK  103.59:181.119:279.048
HOLD      posedge:DHOLD      posedge:CLK  96.4425:168.621:259.793
HOLD      posedge:OHOLDBOT   posedge:CLK  89.1112:155.803:240.044
HOLD      posedge:OHOLDTOP   posedge:CLK  91.8862:160.655:247.519
HOLD      posedge:OLOADBOT   posedge:CLK  84.515:147.767:227.663
HOLD      posedge:OLOADTOP   posedge:CLK  80.3643:140.51:216.482
RECOVERY  negedge:IRSTBOT    posedge:CLK  392.221:685.764:1056.55
RECOVERY  negedge:IRSTTOP    posedge:CLK  417.52:729.998:1124.7
RECOVERY  negedge:ORSTBOT    posedge:CLK  302.84:529.489:815.777
RECOVERY  negedge:ORSTTOP    posedge:CLK  349.829:611.646:942.355
RECOVERY  posedge:IRSTBOT    posedge:CLK  0:0:0
RECOVERY  posedge:IRSTTOP    posedge:CLK  0:0:0
RECOVERY  posedge:ORSTBOT    posedge:CLK  0:0:0
RECOVERY  posedge:ORSTTOP    posedge:CLK  0:0:0
REMOVAL   negedge:IRSTBOT    posedge:CLK  0:0:0
REMOVAL   negedge:IRSTTOP    posedge:CLK  0:0:0
REMOVAL   negedge:ORSTBOT    posedge:CLK  0:0:0
REMOVAL   negedge:ORSTTOP    posedge:CLK  0:0:0
REMOVAL   posedge:IRSTBOT    posedge:CLK  0:0:0
REMOVAL   posedge:IRSTTOP    posedge:CLK  0:0:0
REMOVAL   posedge:ORSTBOT    posedge:CLK  0:0:0
REMOVAL   posedge:ORSTTOP    posedge:CLK  0:0:0
SETUP     negedge:A[0]       posedge:CLK  -38.0891:-66.5954:-102.603
SETUP     negedge:A[1]       posedge:CLK  -35.3401:-61.7891:-95.1978
SETUP     negedge:A[2]       posedge:CLK  -36.3771:-63.6021:-97.991
SETUP     negedge:A[3]       posedge:CLK  -31.7794:-55.5635:-85.6061
SETUP     negedge:A[4]       posedge:CLK  -33.0573:-57.7977:-89.0483
SETUP     negedge:A[5]       posedge:CLK  -24.3841:-42.6335:-65.685
SETUP     negedge:A[6]       posedge:CLK  -37.1962:-65.0343:-100.198
SETUP     negedge:A[7]       posedge:CLK  -30.6279:-53.5502:-82.5042
SETUP     negedge:A[8]       posedge:CLK  -23.3442:-40.8153:-62.8837
SETUP     negedge:A[9]       posedge:CLK  -7.81812:-13.6693:-21.0601
SETUP     negedge:A[10]      posedge:CLK  -20.4556:-35.7649:-55.1026
SETUP     negedge:A[11]      posedge:CLK  -20.6528:-36.1096:-55.6337
SETUP     negedge:A[12]      posedge:CLK  -18.7825:-32.8395:-50.5955
SETUP     negedge:A[13]      posedge:CLK  -19.3445:-33.8221:-52.1093
SETUP     negedge:A[14]      posedge:CLK  -11.0676:-19.3507:-29.8135
SETUP     negedge:A[15]      posedge:CLK  -20.8421:-36.4406:-56.1436
SETUP     negedge:ADDSUBBOT  posedge:CLK  674.108:1178.62:1815.89
SETUP     negedge:ADDSUBTOP  posedge:CLK  676.634:1183.04:1822.69
SETUP     negedge:AHOLD      posedge:CLK  140.197:245.122:377.657
SETUP     negedge:B[0]       posedge:CLK  -26.7978:-46.8535:-72.1867
SETUP     negedge:B[1]       posedge:CLK  -26.5755:-46.465:-71.588
SETUP     negedge:B[2]       posedge:CLK  -26.7923:-46.8441:-72.1721
SETUP     negedge:B[3]       posedge:CLK  -31.2356:-54.6127:-84.1412
SETUP     negedge:B[4]       posedge:CLK  -20.5245:-35.8853:-55.288
SETUP     negedge:B[5]       posedge:CLK  -23.0251:-40.2574:-62.0242
SETUP     negedge:B[6]       posedge:CLK  -21.2841:-37.2134:-57.3343
SETUP     negedge:B[7]       posedge:CLK  -21.3225:-37.2805:-57.4376
SETUP     negedge:B[8]       posedge:CLK  -24.4298:-42.7135:-65.8081
SETUP     negedge:B[9]       posedge:CLK  -28.408:-49.6689:-76.5243
SETUP     negedge:B[10]      posedge:CLK  -9.44262:-16.5096:-25.4361
SETUP     negedge:B[11]      posedge:CLK  -20.5353:-35.9042:-55.3171
SETUP     negedge:B[12]      posedge:CLK  -21.4931:-37.5788:-57.8972
SETUP     negedge:B[13]      posedge:CLK  -6.6853:-11.6887:-18.0086
SETUP     negedge:B[14]      posedge:CLK  -10.7996:-18.8822:-29.0916
SETUP     negedge:B[15]      posedge:CLK  -13.8677:-24.2464:-37.3562
SETUP     negedge:BHOLD      posedge:CLK  130.019:227.327:350.24
SETUP     negedge:C[0]       posedge:CLK  84.4496:147.653:227.487
SETUP     negedge:C[1]       posedge:CLK  79.7467:139.43:214.818
SETUP     negedge:C[2]       posedge:CLK  73.4572:128.434:197.876
SETUP     negedge:C[3]       posedge:CLK  68.2735:119.37:183.912
SETUP     negedge:C[4]       posedge:CLK  72.7438:127.186:195.954
SETUP     negedge:C[5]       posedge:CLK  64.1823:112.217:172.892
SETUP     negedge:C[6]       posedge:CLK  79.5033:139.005:214.163
SETUP     negedge:C[7]       posedge:CLK  72.9587:127.562:196.533
SETUP     negedge:C[8]       posedge:CLK  71.6783:125.323:193.084
SETUP     negedge:C[9]       posedge:CLK  60.9952:106.645:164.306
SETUP     negedge:C[10]      posedge:CLK  66.9174:116.999:180.259
SETUP     negedge:C[11]      posedge:CLK  74.4661:130.198:200.594
SETUP     negedge:C[12]      posedge:CLK  68.1265:119.113:183.516
SETUP     negedge:C[13]      posedge:CLK  75.1638:131.417:202.473
SETUP     negedge:C[14]      posedge:CLK  69.864:122.151:188.197
SETUP     negedge:C[15]      posedge:CLK  67.4627:117.953:181.728
SETUP     negedge:CHOLD      posedge:CLK  96.6446:168.975:260.337
SETUP     negedge:D[0]       posedge:CLK  107.995:188.821:290.914
SETUP     negedge:D[1]       posedge:CLK  92.632:161.959:249.528
SETUP     negedge:D[2]       posedge:CLK  85.668:149.783:230.769
SETUP     negedge:D[3]       posedge:CLK  66.4297:116.147:178.946
SETUP     negedge:D[4]       posedge:CLK  85.7058:149.849:230.871
SETUP     negedge:D[5]       posedge:CLK  94.1621:164.634:253.65
SETUP     negedge:D[6]       posedge:CLK  76.3679:133.523:205.717
SETUP     negedge:D[7]       posedge:CLK  73.6234:128.724:198.324
SETUP     negedge:D[8]       posedge:CLK  70.4904:123.246:189.884
SETUP     negedge:D[9]       posedge:CLK  72.3696:126.532:194.946
SETUP     negedge:D[10]      posedge:CLK  81.7493:142.932:220.213
SETUP     negedge:D[11]      posedge:CLK  70.5111:123.282:189.94
SETUP     negedge:D[12]      posedge:CLK  69.6349:121.751:187.58
SETUP     negedge:D[13]      posedge:CLK  54.0887:94.5693:145.702
SETUP     negedge:D[14]      posedge:CLK  83.9663:146.808:226.185
SETUP     negedge:D[15]      posedge:CLK  52.9381:92.5577:142.603
SETUP     negedge:DHOLD      posedge:CLK  118.156:206.585:318.283
SETUP     negedge:OHOLDBOT   posedge:CLK  153.927:269.128:414.643
SETUP     negedge:OHOLDTOP   posedge:CLK  108.687:190.029:292.776
SETUP     negedge:OLOADBOT   posedge:CLK  168.613:294.804:454.202
SETUP     negedge:OLOADTOP   posedge:CLK  136.972:239.484:368.97
SETUP     posedge:A[0]       posedge:CLK  -25.8188:-45.142:-69.5497
SETUP     posedge:A[1]       posedge:CLK  -21.4842:-37.5633:-57.8733
SETUP     posedge:A[2]       posedge:CLK  -27.8263:-48.6519:-74.9575
SETUP     posedge:A[3]       posedge:CLK  -15.2119:-26.5967:-40.9773
SETUP     posedge:A[4]       posedge:CLK  -17.8208:-31.158:-48.0048
SETUP     posedge:A[5]       posedge:CLK  -12.7835:-22.3509:-34.4358
SETUP     posedge:A[6]       posedge:CLK  -27.0839:-47.3538:-72.9575
SETUP     posedge:A[7]       posedge:CLK  -19.6154:-34.2958:-52.8391
SETUP     posedge:A[8]       posedge:CLK  -19.8838:-34.7651:-53.5622
SETUP     posedge:A[9]       posedge:CLK  5.23535:9.15356:14.1028
SETUP     posedge:A[10]      posedge:CLK  -10.9398:-19.1272:-29.4691
SETUP     posedge:A[11]      posedge:CLK  -14.8432:-25.952:-39.9839
SETUP     posedge:A[12]      posedge:CLK  -9.94953:-17.3959:-26.8016
SETUP     posedge:A[13]      posedge:CLK  -13.6042:-23.7857:-36.6463
SETUP     posedge:A[14]      posedge:CLK  -3.18114:-5.56194:-8.56922
SETUP     posedge:A[15]      posedge:CLK  -11.4329:-19.9895:-30.7975
SETUP     posedge:ADDSUBBOT  posedge:CLK  694.807:1214.81:1871.64
SETUP     posedge:ADDSUBTOP  posedge:CLK  695.197:1215.49:1872.69
SETUP     posedge:AHOLD      posedge:CLK  112.255:196.268:302.387
SETUP     posedge:B[0]       posedge:CLK  -18.074:-31.6008:-48.6869
SETUP     posedge:B[1]       posedge:CLK  -20.1946:-35.3084:-54.3993
SETUP     posedge:B[2]       posedge:CLK  -18.9364:-33.1086:-51.01
SETUP     posedge:B[3]       posedge:CLK  -23.6761:-41.3956:-63.7777
SETUP     posedge:B[4]       posedge:CLK  -10.365:-18.1223:-27.9208
SETUP     posedge:B[5]       posedge:CLK  -12.6036:-22.0363:-33.951
SETUP     posedge:B[6]       posedge:CLK  -9.62109:-16.8216:-25.9169
SETUP     posedge:B[7]       posedge:CLK  -11.7235:-20.4975:-31.5803
SETUP     posedge:B[8]       posedge:CLK  -18.3754:-32.1277:-49.4988
SETUP     posedge:B[9]       posedge:CLK  -21.529:-37.6415:-57.9939
SETUP     posedge:B[10]      posedge:CLK  0.921399:1.61099:2.48203
SETUP     posedge:B[11]      posedge:CLK  -10.1437:-17.7355:-27.3248
SETUP     posedge:B[12]      posedge:CLK  -8.14017:-14.2324:-21.9277
SETUP     posedge:B[13]      posedge:CLK  2.41314:4.21916:6.50042
SETUP     posedge:B[14]      posedge:CLK  -3.28832:-5.74934:-8.85795
SETUP     posedge:B[15]      posedge:CLK  -5.12473:-8.96014:-13.8048
SETUP     posedge:BHOLD      posedge:CLK  108.711:190.072:292.842
SETUP     posedge:C[0]       posedge:CLK  74.8147:130.807:201.533
SETUP     posedge:C[1]       posedge:CLK  78.3553:136.997:211.07
SETUP     posedge:C[2]       posedge:CLK  68.9913:120.625:185.846
SETUP     posedge:C[3]       posedge:CLK  72.2742:126.365:194.689
SETUP     posedge:C[4]       posedge:CLK  71.8135:125.56:193.448
SETUP     posedge:C[5]       posedge:CLK  60.42:105.639:162.757
SETUP     posedge:C[6]       posedge:CLK  72.9001:127.46:196.375
SETUP     posedge:C[7]       posedge:CLK  68.2204:119.277:183.769
SETUP     posedge:C[8]       posedge:CLK  65.6868:114.848:176.944
SETUP     posedge:C[9]       posedge:CLK  50.5943:88.4598:136.289
SETUP     posedge:C[10]      posedge:CLK  60.2041:105.262:162.175
SETUP     posedge:C[11]      posedge:CLK  64.0185:111.931:172.45
SETUP     posedge:C[12]      posedge:CLK  58.8087:102.822:158.417
SETUP     posedge:C[13]      posedge:CLK  70.2185:122.771:189.152
SETUP     posedge:C[14]      posedge:CLK  61.7081:107.891:166.227
SETUP     posedge:C[15]      posedge:CLK  65.3485:114.256:176.033
SETUP     posedge:CHOLD      posedge:CLK  75.0689:131.251:202.218
SETUP     posedge:D[0]       posedge:CLK  95.1996:166.448:256.445
SETUP     posedge:D[1]       posedge:CLK  93.8966:164.17:252.935
SETUP     posedge:D[2]       posedge:CLK  80.0063:139.884:215.518
SETUP     posedge:D[3]       posedge:CLK  62.8906:109.959:169.412
SETUP     posedge:D[4]       posedge:CLK  82.0787:143.507:221.1
SETUP     posedge:D[5]       posedge:CLK  92.4752:161.685:249.106
SETUP     posedge:D[6]       posedge:CLK  64.8608:113.403:174.719
SETUP     posedge:D[7]       posedge:CLK  75.3492:131.741:202.973
SETUP     posedge:D[8]       posedge:CLK  63.8853:111.698:172.092
SETUP     posedge:D[9]       posedge:CLK  69.4496:121.426:187.08
SETUP     posedge:D[10]      posedge:CLK  73.2659:128.099:197.361
SETUP     posedge:D[11]      posedge:CLK  62.3434:109.002:167.938
SETUP     posedge:D[12]      posedge:CLK  65.0112:113.666:175.125
SETUP     posedge:D[13]      posedge:CLK  51.5379:90.1094:138.831
SETUP     posedge:D[14]      posedge:CLK  78.369:137.021:211.107
SETUP     posedge:D[15]      posedge:CLK  56.8509:99.3988:153.143
SETUP     posedge:DHOLD      posedge:CLK  95.2704:166.572:256.635
SETUP     posedge:OHOLDBOT   posedge:CLK  125.286:219.051:337.489
SETUP     posedge:OHOLDTOP   posedge:CLK  78.7516:137.69:212.138
SETUP     posedge:OLOADBOT   posedge:CLK  162.999:284.99:439.08
SETUP     posedge:OLOADTOP   posedge:CLK  145.363:254.155:391.573
IOPATH    ADDSUBTOP          ACCUMCO      787.304:1376.53:2120.81     929.697:1625.49:2504.38
IOPATH    ADDSUBTOP          CO           225.799:394.79:608.248      220.814:386.074:594.82
IOPATH    posedge:CLK        ACCUMCO      1164.12:2035.36:3135.86     1305.36:2282.32:3516.34
IOPATH    posedge:CLK        CO           1445.56:2527.43:3893.98     1573.81:2751.67:4239.47
IOPATH    posedge:CLK        O[0]         505.159:883.227:1360.78     565.898:989.423:1524.39
IOPATH    posedge:CLK        O[1]         512.03:895.24:1379.29       555.639:971.486:1496.76
IOPATH    posedge:CLK        O[2]         520.279:909.662:1401.51     570.974:998.298:1538.07
IOPATH    posedge:CLK        O[3]         513.518:897.841:1383.29     545.279:953.373:1468.85
IOPATH    posedge:CLK        O[4]         549.329:960.453:1479.76     586.181:1024.89:1579.03
IOPATH    posedge:CLK        O[5]         500.634:875.315:1348.59     544.336:951.725:1466.31
IOPATH    posedge:CLK        O[6]         491.105:858.655:1322.92     522.923:914.285:1408.63
IOPATH    posedge:CLK        O[7]         478.072:835.867:1287.81     515.854:901.926:1389.59
IOPATH    posedge:CLK        O[8]         674.913:1180.03:1818.05     670.032:1171.49:1804.9
IOPATH    posedge:CLK        O[9]         653.424:1142.45:1760.17     615.658:1076.42:1658.43
IOPATH    posedge:CLK        O[10]        578.183:1010.9:1557.49      568.193:993.436:1530.58
IOPATH    posedge:CLK        O[11]        645.355:1128.35:1738.43     630.201:1101.85:1697.61
IOPATH    posedge:CLK        O[12]        661.418:1156.43:1781.7      630.802:1102.9:1699.23
IOPATH    posedge:CLK        O[13]        672.12:1175.14:1810.53      619.497:1083.14:1668.77
IOPATH    posedge:CLK        O[14]        732.111:1280.03:1972.13     700.879:1225.43:1888
IOPATH    posedge:CLK        O[15]        588.429:1028.82:1585.09     588.426:1028.81:1585.08
IOPATH    posedge:CLK        O[16]        745.682:1303.76:2008.69     712.739:1246.16:1919.95
IOPATH    posedge:CLK        O[17]        610.665:1067.69:1644.98     629.208:1100.12:1694.94
IOPATH    posedge:CLK        O[18]        574.777:1004.95:1548.31     588.304:1028.6:1584.75
IOPATH    posedge:CLK        O[19]        650.901:1138.04:1753.37     653.165:1142:1759.47
IOPATH    posedge:CLK        O[20]        638.806:1116.9:1720.79      628.52:1098.91:1693.08
IOPATH    posedge:CLK        O[21]        576.036:1007.15:1551.7      564.5:986.979:1520.63
IOPATH    posedge:CLK        O[22]        548.074:958.26:1476.38      550.461:962.434:1482.81
IOPATH    posedge:CLK        O[23]        550.909:963.216:1484.02     548.117:958.334:1476.49
IOPATH    posedge:CLK        O[24]        578.092:1010.74:1557.24     569.922:996.458:1535.23
IOPATH    posedge:CLK        O[25]        560.365:979.75:1509.49      561.993:982.595:1513.87
IOPATH    posedge:CLK        O[26]        566.9:991.175:1527.09       564.885:987.652:1521.66
IOPATH    posedge:CLK        O[27]        577.199:1009.18:1554.83     574.82:1005.02:1548.43
IOPATH    posedge:CLK        O[28]        576.773:1008.44:1553.69     576.576:1008.09:1553.16
IOPATH    posedge:CLK        O[29]        585.301:1023.35:1576.66     590.921:1033.17:1591.8
IOPATH    posedge:CLK        O[30]        604.743:1057.34:1629.03     608.994:1064.77:1640.48
IOPATH    posedge:CLK        O[31]        618.524:1081.43:1666.15     634.303:1109.02:1708.66
IOPATH    posedge:CLK        SIGNEXTOUT   720.575:1259.86:1941.05     703.764:1230.47:1895.77

CELL SB_PLL40_2F_CORE
HOLD    negedge:SDI   negedge:SCLK   0:0:0
HOLD    posedge:SDI   negedge:SCLK   0:0:0
SETUP   negedge:SDI   negedge:SCLK   491.675:859.651:1324.45
SETUP   posedge:SDI   negedge:SCLK   491.675:859.651:1324.45
IOPATH  negedge:SCLK  SDO            491.675:859.651:1324.45  491.675:859.651:1324.45
IOPATH  REFERENCECLK  PLLOUTCOREA    *:*:*                    *:*:*
IOPATH  REFERENCECLK  PLLOUTCOREB    *:*:*                    *:*:*
IOPATH  REFERENCECLK  PLLOUTGLOBALA  *:*:*                    *:*:*
IOPATH  REFERENCECLK  PLLOUTGLOBALB  *:*:*                    *:*:*

CELL SB_PLL40_CORE
HOLD    negedge:SDI   negedge:SCLK  0:0:0
HOLD    posedge:SDI   negedge:SCLK  0:0:0
SETUP   negedge:SDI   negedge:SCLK  491.675:859.651:1324.45
SETUP   posedge:SDI   negedge:SCLK  491.675:859.651:1324.45
IOPATH  negedge:SCLK  SDO           491.675:859.651:1324.45  491.675:859.651:1324.45
IOPATH  REFERENCECLK  PLLOUTCORE    *:*:*                    *:*:*
IOPATH  REFERENCECLK  PLLOUTGLOBAL  *:*:*                    *:*:*

CELL SB_RAM40_4K
HOLD    negedge:MASK[0]    posedge:WCLK  0:0:0
HOLD    negedge:MASK[1]    posedge:WCLK  0:0:0
HOLD    negedge:MASK[2]    posedge:WCLK  0:0:0
HOLD    negedge:MASK[3]    posedge:WCLK  0:0:0
HOLD    negedge:MASK[4]    posedge:WCLK  0:0:0
HOLD    negedge:MASK[5]    posedge:WCLK  0:0:0
HOLD    negedge:MASK[6]    posedge:WCLK  0:0:0
HOLD    negedge:MASK[7]    posedge:WCLK  0:0:0
HOLD    negedge:MASK[8]    posedge:WCLK  0:0:0
HOLD    negedge:MASK[9]    posedge:WCLK  0:0:0
HOLD    negedge:MASK[10]   posedge:WCLK  0:0:0
HOLD    negedge:MASK[11]   posedge:WCLK  0:0:0
HOLD    negedge:MASK[12]   posedge:WCLK  0:0:0
HOLD    negedge:MASK[13]   posedge:WCLK  0:0:0
HOLD    negedge:MASK[14]   posedge:WCLK  0:0:0
HOLD    negedge:MASK[15]   posedge:WCLK  0:0:0
HOLD    negedge:RADDR[0]   posedge:RCLK  39.334:68.772:105.956
HOLD    negedge:RADDR[1]   posedge:RCLK  39.334:68.772:105.956
HOLD    negedge:RADDR[2]   posedge:RCLK  39.334:68.772:105.956
HOLD    negedge:RADDR[3]   posedge:RCLK  39.334:68.772:105.956
HOLD    negedge:RADDR[4]   posedge:RCLK  39.334:68.772:105.956
HOLD    negedge:RADDR[5]   posedge:RCLK  39.334:68.772:105.956
HOLD    negedge:RADDR[6]   posedge:RCLK  39.334:68.772:105.956
HOLD    negedge:RADDR[7]   posedge:RCLK  39.334:68.772:105.956
HOLD    negedge:RADDR[8]   posedge:RCLK  39.334:68.772:105.956
HOLD    negedge:RADDR[9]   posedge:RCLK  39.334:68.772:105.956
HOLD    negedge:RADDR[10]  posedge:RCLK  39.334:68.772:105.956
HOLD    negedge:RCLKE      posedge:RCLK  36.8756:64.4738:99.334
HOLD    negedge:RE         posedge:RCLK  59.001:103.158:158.934
HOLD    negedge:WADDR[0]   posedge:WCLK  24.5837:42.9825:66.2227
HOLD    negedge:WADDR[1]   posedge:WCLK  24.5837:42.9825:66.2227
HOLD    negedge:WADDR[2]   posedge:WCLK  24.5837:42.9825:66.2227
HOLD    negedge:WADDR[3]   posedge:WCLK  24.5837:42.9825:66.2227
HOLD    negedge:WADDR[4]   posedge:WCLK  24.5837:42.9825:66.2227
HOLD    negedge:WADDR[5]   posedge:WCLK  24.5837:42.9825:66.2227
HOLD    negedge:WADDR[6]   posedge:WCLK  24.5837:42.9825:66.2227
HOLD    negedge:WADDR[7]   posedge:WCLK  24.5837:42.9825:66.2227
HOLD    negedge:WADDR[8]   posedge:WCLK  24.5837:42.9825:66.2227
HOLD    negedge:WADDR[9]   posedge:WCLK  24.5837:42.9825:66.2227
HOLD    negedge:WADDR[10]  posedge:WCLK  24.5837:42.9825:66.2227
HOLD    negedge:WCLKE      posedge:WCLK  19.1753:33.5264:51.6537
HOLD    negedge:WDATA[0]   posedge:WCLK  24.5837:42.9825:66.2227
HOLD    negedge:WDATA[1]   posedge:WCLK  24.5837:42.9825:66.2227
HOLD    negedge:WDATA[2]   posedge:WCLK  24.5837:42.9825:66.2227
HOLD    negedge:WDATA[3]   posedge:WCLK  24.5837:42.9825:66.2227
HOLD    negedge:WDATA[4]   posedge:WCLK  24.5837:42.9825:66.2227
HOLD    negedge:WDATA[5]   posedge:WCLK  24.5837:42.9825:66.2227
HOLD    negedge:WDATA[6]   posedge:WCLK  24.5837:42.9825:66.2227
HOLD    negedge:WDATA[7]   posedge:WCLK  24.5837:42.9825:66.2227
HOLD    negedge:WDATA[8]   posedge:WCLK  24.5837:42.9825:66.2227
HOLD    negedge:WDATA[9]   posedge:WCLK  24.5837:42.9825:66.2227
HOLD    negedge:WDATA[10]  posedge:WCLK  24.5837:42.9825:66.2227
HOLD    negedge:WDATA[11]  posedge:WCLK  24.5837:42.9825:66.2227
HOLD    negedge:WDATA[12]  posedge:WCLK  24.5837:42.9825:66.2227
HOLD    negedge:WDATA[13]  posedge:WCLK  24.5837:42.9825:66.2227
HOLD    negedge:WDATA[14]  posedge:WCLK  24.5837:42.9825:66.2227
HOLD    negedge:WDATA[15]  posedge:WCLK  24.5837:42.9825:66.2227
HOLD    negedge:WE         posedge:WCLK  34.4172:60.1755:92.7118
HOLD    posedge:MASK[0]    posedge:WCLK  0:0:0
HOLD    posedge:MASK[1]    posedge:WCLK  0:0:0
HOLD    posedge:MASK[2]    posedge:WCLK  0:0:0
HOLD    posedge:MASK[3]    posedge:WCLK  0:0:0
HOLD    posedge:MASK[4]    posedge:WCLK  0:0:0
HOLD    posedge:MASK[5]    posedge:WCLK  0:0:0
HOLD    posedge:MASK[6]    posedge:WCLK  0:0:0
HOLD    posedge:MASK[7]    posedge:WCLK  0:0:0
HOLD    posedge:MASK[8]    posedge:WCLK  0:0:0
HOLD    posedge:MASK[9]    posedge:WCLK  0:0:0
HOLD    posedge:MASK[10]   posedge:WCLK  0:0:0
HOLD    posedge:MASK[11]   posedge:WCLK  0:0:0
HOLD    posedge:MASK[12]   posedge:WCLK  0:0:0
HOLD    posedge:MASK[13]   posedge:WCLK  0:0:0
HOLD    posedge:MASK[14]   posedge:WCLK  0:0:0
HOLD    posedge:MASK[15]   posedge:WCLK  0:0:0
HOLD    posedge:RADDR[0]   posedge:RCLK  39.334:68.772:105.956
HOLD    posedge:RADDR[1]   posedge:RCLK  39.334:68.772:105.956
HOLD    posedge:RADDR[2]   posedge:RCLK  39.334:68.772:105.956
HOLD    posedge:RADDR[3]   posedge:RCLK  39.334:68.772:105.956
HOLD    posedge:RADDR[4]   posedge:RCLK  39.334:68.772:105.956
HOLD    posedge:RADDR[5]   posedge:RCLK  39.334:68.772:105.956
HOLD    posedge:RADDR[6]   posedge:RCLK  39.334:68.772:105.956
HOLD    posedge:RADDR[7]   posedge:RCLK  39.334:68.772:105.956
HOLD    posedge:RADDR[8]   posedge:RCLK  39.334:68.772:105.956
HOLD    posedge:RADDR[9]   posedge:RCLK  39.334:68.772:105.956
HOLD    posedge:RADDR[10]  posedge:RCLK  39.334:68.772:105.956
HOLD    posedge:RCLKE      posedge:RCLK  36.8756:64.4738:99.334
HOLD    posedge:RE         posedge:RCLK  59.001:103.158:158.934
HOLD    posedge:WADDR[0]   posedge:WCLK  24.5837:42.9825:66.2227
HOLD    posedge:WADDR[1]   posedge:WCLK  24.5837:42.9825:66.2227
HOLD    posedge:WADDR[2]   posedge:WCLK  24.5837:42.9825:66.2227
HOLD    posedge:WADDR[3]   posedge:WCLK  24.5837:42.9825:66.2227
HOLD    posedge:WADDR[4]   posedge:WCLK  24.5837:42.9825:66.2227
HOLD    posedge:WADDR[5]   posedge:WCLK  24.5837:42.9825:66.2227
HOLD    posedge:WADDR[6]   posedge:WCLK  24.5837:42.9825:66.2227
HOLD    posedge:WADDR[7]   posedge:WCLK  24.5837:42.9825:66.2227
HOLD    posedge:WADDR[8]   posedge:WCLK  24.5837:42.9825:66.2227
HOLD    posedge:WADDR[9]   posedge:WCLK  24.5837:42.9825:66.2227
HOLD    posedge:WADDR[10]  posedge:WCLK  24.5837:42.9825:66.2227
HOLD    posedge:WCLKE      posedge:WCLK  19.1753:33.5264:51.6537
HOLD    posedge:WDATA[0]   posedge:WCLK  24.5837:42.9825:66.2227
HOLD    posedge:WDATA[1]   posedge:WCLK  24.5837:42.9825:66.2227
HOLD    posedge:WDATA[2]   posedge:WCLK  24.5837:42.9825:66.2227
HOLD    posedge:WDATA[3]   posedge:WCLK  24.5837:42.9825:66.2227
HOLD    posedge:WDATA[4]   posedge:WCLK  24.5837:42.9825:66.2227
HOLD    posedge:WDATA[5]   posedge:WCLK  24.5837:42.9825:66.2227
HOLD    posedge:WDATA[6]   posedge:WCLK  24.5837:42.9825:66.2227
HOLD    posedge:WDATA[7]   posedge:WCLK  24.5837:42.9825:66.2227
HOLD    posedge:WDATA[8]   posedge:WCLK  24.5837:42.9825:66.2227
HOLD    posedge:WDATA[9]   posedge:WCLK  24.5837:42.9825:66.2227
HOLD    posedge:WDATA[10]  posedge:WCLK  24.5837:42.9825:66.2227
HOLD    posedge:WDATA[11]  posedge:WCLK  24.5837:42.9825:66.2227
HOLD    posedge:WDATA[12]  posedge:WCLK  24.5837:42.9825:66.2227
HOLD    posedge:WDATA[13]  posedge:WCLK  24.5837:42.9825:66.2227
HOLD    posedge:WDATA[14]  posedge:WCLK  24.5837:42.9825:66.2227
HOLD    posedge:WDATA[15]  posedge:WCLK  24.5837:42.9825:66.2227
HOLD    posedge:WE         posedge:WCLK  34.4172:60.1755:92.7118
SETUP   negedge:MASK[0]    posedge:WCLK  191.753:335.264:516.537
SETUP   negedge:MASK[1]    posedge:WCLK  191.753:335.264:516.537
SETUP   negedge:MASK[2]    posedge:WCLK  191.753:335.264:516.537
SETUP   negedge:MASK[3]    posedge:WCLK  191.753:335.264:516.537
SETUP   negedge:MASK[4]    posedge:WCLK  191.753:335.264:516.537
SETUP   negedge:MASK[5]    posedge:WCLK  191.753:335.264:516.537
SETUP   negedge:MASK[6]    posedge:WCLK  191.753:335.264:516.537
SETUP   negedge:MASK[7]    posedge:WCLK  191.753:335.264:516.537
SETUP   negedge:MASK[8]    posedge:WCLK  191.753:335.264:516.537
SETUP   negedge:MASK[9]    posedge:WCLK  191.753:335.264:516.537
SETUP   negedge:MASK[10]   posedge:WCLK  191.753:335.264:516.537
SETUP   negedge:MASK[11]   posedge:WCLK  191.753:335.264:516.537
SETUP   negedge:MASK[12]   posedge:WCLK  191.753:335.264:516.537
SETUP   negedge:MASK[13]   posedge:WCLK  191.753:335.264:516.537
SETUP   negedge:MASK[14]   posedge:WCLK  191.753:335.264:516.537
SETUP   negedge:MASK[15]   posedge:WCLK  191.753:335.264:516.537
SETUP   negedge:RADDR[0]   posedge:RCLK  142.586:249.299:384.092
SETUP   negedge:RADDR[1]   posedge:RCLK  142.586:249.299:384.092
SETUP   negedge:RADDR[2]   posedge:RCLK  142.586:249.299:384.092
SETUP   negedge:RADDR[3]   posedge:RCLK  142.586:249.299:384.092
SETUP   negedge:RADDR[4]   posedge:RCLK  142.586:249.299:384.092
SETUP   negedge:RADDR[5]   posedge:RCLK  142.586:249.299:384.092
SETUP   negedge:RADDR[6]   posedge:RCLK  142.586:249.299:384.092
SETUP   negedge:RADDR[7]   posedge:RCLK  142.586:249.299:384.092
SETUP   negedge:RADDR[8]   posedge:RCLK  142.586:249.299:384.092
SETUP   negedge:RADDR[9]   posedge:RCLK  142.586:249.299:384.092
SETUP   negedge:RADDR[10]  posedge:RCLK  142.586:249.299:384.092
SETUP   negedge:RCLKE      posedge:RCLK  186.836:326.667:503.292
SETUP   negedge:RE         posedge:RCLK  68.8345:120.351:185.424
SETUP   negedge:WADDR[0]   posedge:WCLK  157.336:275.088:423.825
SETUP   negedge:WADDR[1]   posedge:WCLK  157.336:275.088:423.825
SETUP   negedge:WADDR[2]   posedge:WCLK  157.336:275.088:423.825
SETUP   negedge:WADDR[3]   posedge:WCLK  157.336:275.088:423.825
SETUP   negedge:WADDR[4]   posedge:WCLK  157.336:275.088:423.825
SETUP   negedge:WADDR[5]   posedge:WCLK  157.336:275.088:423.825
SETUP   negedge:WADDR[6]   posedge:WCLK  157.336:275.088:423.825
SETUP   negedge:WADDR[7]   posedge:WCLK  157.336:275.088:423.825
SETUP   negedge:WADDR[8]   posedge:WCLK  157.336:275.088:423.825
SETUP   negedge:WADDR[9]   posedge:WCLK  157.336:275.088:423.825
SETUP   negedge:WADDR[10]  posedge:WCLK  157.336:275.088:423.825
SETUP   negedge:WCLKE      posedge:WCLK  186.836:326.667:503.292
SETUP   negedge:WDATA[0]   posedge:WCLK  113.085:197.72:304.624
SETUP   negedge:WDATA[1]   posedge:WCLK  113.085:197.72:304.624
SETUP   negedge:WDATA[2]   posedge:WCLK  113.085:197.72:304.624
SETUP   negedge:WDATA[3]   posedge:WCLK  113.085:197.72:304.624
SETUP   negedge:WDATA[4]   posedge:WCLK  113.085:197.72:304.624
SETUP   negedge:WDATA[5]   posedge:WCLK  113.085:197.72:304.624
SETUP   negedge:WDATA[6]   posedge:WCLK  113.085:197.72:304.624
SETUP   negedge:WDATA[7]   posedge:WCLK  113.085:197.72:304.624
SETUP   negedge:WDATA[8]   posedge:WCLK  113.085:197.72:304.624
SETUP   negedge:WDATA[9]   posedge:WCLK  113.085:197.72:304.624
SETUP   negedge:WDATA[10]  posedge:WCLK  113.085:197.72:304.624
SETUP   negedge:WDATA[11]  posedge:WCLK  113.085:197.72:304.624
SETUP   negedge:WDATA[12]  posedge:WCLK  113.085:197.72:304.624
SETUP   negedge:WDATA[13]  posedge:WCLK  113.085:197.72:304.624
SETUP   negedge:WDATA[14]  posedge:WCLK  113.085:197.72:304.624
SETUP   negedge:WDATA[15]  posedge:WCLK  113.085:197.72:304.624
SETUP   negedge:WE         posedge:WCLK  93.4182:163.334:251.646
SETUP   posedge:MASK[0]    posedge:WCLK  191.753:335.264:516.537
SETUP   posedge:MASK[1]    posedge:WCLK  191.753:335.264:516.537
SETUP   posedge:MASK[2]    posedge:WCLK  191.753:335.264:516.537
SETUP   posedge:MASK[3]    posedge:WCLK  191.753:335.264:516.537
SETUP   posedge:MASK[4]    posedge:WCLK  191.753:335.264:516.537
SETUP   posedge:MASK[5]    posedge:WCLK  191.753:335.264:516.537
SETUP   posedge:MASK[6]    posedge:WCLK  191.753:335.264:516.537
SETUP   posedge:MASK[7]    posedge:WCLK  191.753:335.264:516.537
SETUP   posedge:MASK[8]    posedge:WCLK  191.753:335.264:516.537
SETUP   posedge:MASK[9]    posedge:WCLK  191.753:335.264:516.537
SETUP   posedge:MASK[10]   posedge:WCLK  191.753:335.264:516.537
SETUP   posedge:MASK[11]   posedge:WCLK  191.753:335.264:516.537
SETUP   posedge:MASK[12]   posedge:WCLK  191.753:335.264:516.537
SETUP   posedge:MASK[13]   posedge:WCLK  191.753:335.264:516.537
SETUP   posedge:MASK[14]   posedge:WCLK  191.753:335.264:516.537
SETUP   posedge:MASK[15]   posedge:WCLK  191.753:335.264:516.537
SETUP   posedge:RADDR[0]   posedge:RCLK  142.586:249.299:384.092
SETUP   posedge:RADDR[1]   posedge:RCLK  142.586:249.299:384.092
SETUP   posedge:RADDR[2]   posedge:RCLK  142.586:249.299:384.092
SETUP   posedge:RADDR[3]   posedge:RCLK  142.586:249.299:384.092
SETUP   posedge:RADDR[4]   posedge:RCLK  142.586:249.299:384.092
SETUP   posedge:RADDR[5]   posedge:RCLK  142.586:249.299:384.092
SETUP   posedge:RADDR[6]   posedge:RCLK  142.586:249.299:384.092
SETUP   posedge:RADDR[7]   posedge:RCLK  142.586:249.299:384.092
SETUP   posedge:RADDR[8]   posedge:RCLK  142.586:249.299:384.092
SETUP   posedge:RADDR[9]   posedge:RCLK  142.586:249.299:384.092
SETUP   posedge:RADDR[10]  posedge:RCLK  142.586:249.299:384.092
SETUP   posedge:RCLKE      posedge:RCLK  186.836:326.667:503.292
SETUP   posedge:RE         posedge:RCLK  68.8345:120.351:185.424
SETUP   posedge:WADDR[0]   posedge:WCLK  157.336:275.088:423.825
SETUP   posedge:WADDR[1]   posedge:WCLK  157.336:275.088:423.825
SETUP   posedge:WADDR[2]   posedge:WCLK  157.336:275.088:423.825
SETUP   posedge:WADDR[3]   posedge:WCLK  157.336:275.088:423.825
SETUP   posedge:WADDR[4]   posedge:WCLK  157.336:275.088:423.825
SETUP   posedge:WADDR[5]   posedge:WCLK  157.336:275.088:423.825
SETUP   posedge:WADDR[6]   posedge:WCLK  157.336:275.088:423.825
SETUP   posedge:WADDR[7]   posedge:WCLK  157.336:275.088:423.825
SETUP   posedge:WADDR[8]   posedge:WCLK  157.336:275.088:423.825
SETUP   posedge:WADDR[9]   posedge:WCLK  157.336:275.088:423.825
SETUP   posedge:WADDR[10]  posedge:WCLK  157.336:275.088:423.825
SETUP   posedge:WCLKE      posedge:WCLK  186.836:326.667:503.292
SETUP   posedge:WDATA[0]   posedge:WCLK  113.085:197.72:304.624
SETUP   posedge:WDATA[1]   posedge:WCLK  113.085:197.72:304.624
SETUP   posedge:WDATA[2]   posedge:WCLK  113.085:197.72:304.624
SETUP   posedge:WDATA[3]   posedge:WCLK  113.085:197.72:304.624
SETUP   posedge:WDATA[4]   posedge:WCLK  113.085:197.72:304.624
SETUP   posedge:WDATA[5]   posedge:WCLK  113.085:197.72:304.624
SETUP   posedge:WDATA[6]   posedge:WCLK  113.085:197.72:304.624
SETUP   posedge:WDATA[7]   posedge:WCLK  113.085:197.72:304.624
SETUP   posedge:WDATA[8]   posedge:WCLK  113.085:197.72:304.624
SETUP   posedge:WDATA[9]   posedge:WCLK  113.085:197.72:304.624
SETUP   posedge:WDATA[10]  posedge:WCLK  113.085:197.72:304.624
SETUP   posedge:WDATA[11]  posedge:WCLK  113.085:197.72:304.624
SETUP   posedge:WDATA[12]  posedge:WCLK  113.085:197.72:304.624
SETUP   posedge:WDATA[13]  posedge:WCLK  113.085:197.72:304.624
SETUP   posedge:WDATA[14]  posedge:WCLK  113.085:197.72:304.624
SETUP   posedge:WDATA[15]  posedge:WCLK  113.085:197.72:304.624
SETUP   posedge:WE         posedge:WCLK  93.4182:163.334:251.646
IOPATH  posedge:RCLK       RDATA[0]      437.591:765.089:1178.76  437.591:765.089:1178.76
IOPATH  posedge:RCLK       RDATA[1]      437.591:765.089:1178.76  437.591:765.089:1178.76
IOPATH  posedge:RCLK       RDATA[2]      437.591:765.089:1178.76  437.591:765.089:1178.76
IOPATH  posedge:RCLK       RDATA[3]      437.591:765.089:1178.76  437.591:765.089:1178.76
IOPATH  posedge:RCLK       RDATA[4]      437.591:765.089:1178.76  437.591:765.089:1178.76
IOPATH  posedge:RCLK       RDATA[5]      437.591:765.089:1178.76  437.591:765.089:1178.76
IOPATH  posedge:RCLK       RDATA[6]      437.591:765.089:1178.76  437.591:765.089:1178.76
IOPATH  posedge:RCLK       RDATA[7]      437.591:765.089:1178.76  437.591:765.089:1178.76
IOPATH  posedge:RCLK       RDATA[8]      437.591:765.089:1178.76  437.591:765.089:1178.76
IOPATH  posedge:RCLK       RDATA[9]      437.591:765.089:1178.76  437.591:765.089:1178.76
IOPATH  posedge:RCLK       RDATA[10]     437.591:765.089:1178.76  437.591:765.089:1178.76
IOPATH  posedge:RCLK       RDATA[11]     437.591:765.089:1178.76  437.591:765.089:1178.76
IOPATH  posedge:RCLK       RDATA[12]     437.591:765.089:1178.76  437.591:765.089:1178.76
IOPATH  posedge:RCLK       RDATA[13]     437.591:765.089:1178.76  437.591:765.089:1178.76
IOPATH  posedge:RCLK       RDATA[14]     437.591:765.089:1178.76  437.591:765.089:1178.76
IOPATH  posedge:RCLK       RDATA[15]     437.591:765.089:1178.76  437.591:765.089:1178.76

CELL SB_RGB_DRV
IOPATH  RGB0PWM  RGB0  17208.6:30087.8:46355.9  118002:206316:317869
IOPATH  RGB1PWM  RGB1  17208.6:30087.8:46355.9  118002:206316:317869
IOPATH  RGB2PWM  RGB2  17208.6:30087.8:46355.9  118002:206316:317869

CELL Sp12to4
IOPATH  I  O  221.254:386.843:596.004  314.672:550.176:847.65

CELL Span4Mux_h0
IOPATH  I  O  93.4182:163.334:251.646  88.5015:154.737:238.402

CELL Span4Mux_h1
IOPATH  I  O  113.085:197.72:304.624  108.168:189.123:291.38

CELL Span4Mux_h2
IOPATH  I  O  127.835:223.509:344.358  127.835:223.509:344.358

CELL Span4Mux_h3
IOPATH  I  O  147.502:257.895:397.336  147.502:257.895:397.336

CELL Span4Mux_h4
IOPATH  I  O  191.753:335.264:516.537  201.587:352.457:543.026

CELL Span4Mux_v0
IOPATH  I  O  127.835:223.509:344.358  127.835:223.509:344.358

CELL Span4Mux_v1
IOPATH  I  O  127.835:223.509:344.358  127.835:223.509:344.358

CELL Span4Mux_v2
IOPATH  I  O  162.253:283.685:437.07  167.169:292.281:450.314

CELL Span4Mux_v3
IOPATH  I  O  201.587:352.457:543.026  216.337:378.246:582.76

CELL Span4Mux_v4
IOPATH  I  O  221.254:386.843:596.004  240.921:421.229:648.982

CELL Span12Mux_h0
IOPATH  I  O  113.085:197.72:304.624  122.919:214.913:331.113

CELL Span12Mux_h1
IOPATH  I  O  108.168:189.123:291.38  113.085:197.72:304.624

CELL Span12Mux_h2
IOPATH  I  O  127.835:223.509:344.358  142.586:249.299:384.092

CELL Span12Mux_h3
IOPATH  I  O  137.669:240.702:370.847  152.419:266.492:410.581

CELL Span12Mux_h4
IOPATH  I  O  157.336:275.088:423.825  181.92:318.071:490.048

CELL Span12Mux_h5
IOPATH  I  O  186.836:326.667:503.292  216.337:378.246:582.76

CELL Span12Mux_h6
IOPATH  I  O  206.503:361.053:556.271  240.921:421.229:648.982

CELL Span12Mux_h7
IOPATH  I  O  231.087:404.036:622.493  275.338:481.404:741.694

CELL Span12Mux_h8
IOPATH  I  O  280.255:490.001:754.939  324.505:567.369:874.139

CELL Span12Mux_h9
IOPATH  I  O  319.589:558.773:860.895  363.839:636.141:980.096

CELL Span12Mux_h10
IOPATH  I  O  349.089:610.352:940.362  398.257:696.317:1072.81

CELL Span12Mux_h11
IOPATH  I  O  383.506:670.527:1033.07  442.507:773.685:1192.01

CELL Span12Mux_h12
IOPATH  I  O  398.257:696.317:1072.81  457.258:799.475:1231.74

CELL Span12Mux_v0
IOPATH  I  O  73.7512:128.948:198.668  73.7512:128.948:198.668

CELL Span12Mux_v1
IOPATH  I  O  73.7512:128.948:198.668  78.668:137.544:211.913

CELL Span12Mux_v2
IOPATH  I  O  103.252:180.527:278.135  113.085:197.72:304.624

CELL Span12Mux_v3
IOPATH  I  O  113.085:197.72:304.624  122.919:214.913:331.113

CELL Span12Mux_v4
IOPATH  I  O  137.669:240.702:370.847  152.419:266.492:410.581

CELL Span12Mux_v5
IOPATH  I  O  172.086:300.878:463.559  196.67:343.86:529.781

CELL Span12Mux_v6
IOPATH  I  O  191.753:335.264:516.537  216.337:378.246:582.76

CELL Span12Mux_v7
IOPATH  I  O  206.503:361.053:556.271  236.004:412.632:635.738

CELL Span12Mux_v8
IOPATH  I  O  265.504:464.211:715.205  295.005:515.79:794.672

CELL Span12Mux_v9
IOPATH  I  O  280.255:490.001:754.939  309.755:541.58:834.406

CELL Span12Mux_v10
IOPATH  I  O  290.088:507.194:781.428  324.505:567.369:874.139

CELL Span12Mux_v11
IOPATH  I  O  299.922:524.387:807.917  339.256:593.159:913.873

CELL Span12Mux_v12
IOPATH  I  O  363.839:636.141:980.096  398.257:696.317:1072.81
IOPATH  I  O  398.257:696.317:1072.81  457.258:799.475:1231.74

CELL SRMux
IOPATH  I  O  236.004:412.632:635.738  196.67:343.86:529.781