aboutsummaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorEddie Hung <eddieh@ece.ubc.ca>2019-02-09 10:27:05 -0800
committerEddie Hung <eddieh@ece.ubc.ca>2019-02-09 10:27:05 -0800
commitd3d81370e33cab1e2a0c74f0a334d17c80a0ee18 (patch)
tree1af3751b73b98320da9330861f437f651e810ca5
parentbbe819a2ea2ddb12c1575ebb075008e19da402b2 (diff)
downloadnextpnr-tests-d3d81370e33cab1e2a0c74f0a334d17c80a0ee18.tar.gz
nextpnr-tests-d3d81370e33cab1e2a0c74f0a334d17c80a0ee18.tar.bz2
nextpnr-tests-d3d81370e33cab1e2a0c74f0a334d17c80a0ee18.zip
YosysHQ/nextpnr#229 testcase
-rw-r--r--ice40/regressions/issue0229/top.json16243
-rw-r--r--ice40/regressions/issue0229/top.npnr1
-rw-r--r--ice40/regressions/issue0229/top.pcf31
-rw-r--r--ice40/regressions/issue0229/top.v1318
-rw-r--r--ice40/regressions/issue0229/top.ys3
-rw-r--r--ice40/regressions/issue0229/top_pre_pack.py3
6 files changed, 17599 insertions, 0 deletions
diff --git a/ice40/regressions/issue0229/top.json b/ice40/regressions/issue0229/top.json
new file mode 100644
index 0000000..14df665
--- /dev/null
+++ b/ice40/regressions/issue0229/top.json
@@ -0,0 +1,16243 @@
+{
+ "creator": "Yosys 0.8+148 (git sha1 e112d2fb, clang 6.0.0-1ubuntu2 -fPIC -Os)",
+ "modules": {
+ "ICESTORM_LC": {
+ "attributes": {
+ "blackbox": 1,
+ "cells_not_processed": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:667"
+ },
+ "ports": {
+ "I0": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "I1": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "I2": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "I3": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "CIN": {
+ "direction": "input",
+ "bits": [ 6 ]
+ },
+ "CLK": {
+ "direction": "input",
+ "bits": [ 7 ]
+ },
+ "CEN": {
+ "direction": "input",
+ "bits": [ 8 ]
+ },
+ "SR": {
+ "direction": "input",
+ "bits": [ 9 ]
+ },
+ "LO": {
+ "direction": "output",
+ "bits": [ 10 ]
+ },
+ "O": {
+ "direction": "output",
+ "bits": [ 11 ]
+ },
+ "COUT": {
+ "direction": "output",
+ "bits": [ 12 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "CEN": {
+ "hide_name": 0,
+ "bits": [ 8 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
+ }
+ },
+ "CIN": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
+ }
+ },
+ "CLK": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
+ }
+ },
+ "COUT": {
+ "hide_name": 0,
+ "bits": [ 12 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
+ }
+ },
+ "I0": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
+ }
+ },
+ "I1": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
+ }
+ },
+ "I2": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
+ }
+ },
+ "I3": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
+ }
+ },
+ "LO": {
+ "hide_name": 0,
+ "bits": [ 10 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
+ }
+ },
+ "O": {
+ "hide_name": 0,
+ "bits": [ 11 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:669"
+ }
+ },
+ "SR": {
+ "hide_name": 0,
+ "bits": [ 9 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:668"
+ }
+ }
+ }
+ },
+ "SB_CARRY": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
+ },
+ "ports": {
+ "CO": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "I0": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "I1": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "CI": {
+ "direction": "input",
+ "bits": [ 5 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "CI": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
+ }
+ },
+ "CO": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
+ }
+ },
+ "I0": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
+ }
+ },
+ "I1": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:129"
+ }
+ }
+ }
+ },
+ "SB_DFF": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 4 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:135"
+ }
+ }
+ }
+ },
+ "SB_DFFE": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "E": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 5 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
+ }
+ },
+ "E": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:140"
+ }
+ }
+ }
+ },
+ "SB_DFFER": {
+ "attributes": {
+ "blackbox": 1,
+ "cells_not_processed": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "E": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "R": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 6 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
+ }
+ },
+ "E": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
+ }
+ },
+ "R": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:188"
+ }
+ }
+ }
+ },
+ "SB_DFFES": {
+ "attributes": {
+ "blackbox": 1,
+ "cells_not_processed": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "E": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "S": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 6 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
+ }
+ },
+ "E": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
+ }
+ },
+ "S": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:206"
+ }
+ }
+ }
+ },
+ "SB_DFFESR": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "E": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "R": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 6 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
+ }
+ },
+ "E": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
+ }
+ },
+ "R": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:178"
+ }
+ }
+ }
+ },
+ "SB_DFFESS": {
+ "attributes": {
+ "blackbox": 1,
+ "cells_not_processed": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "E": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "S": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 6 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
+ }
+ },
+ "E": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
+ }
+ },
+ "S": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:196"
+ }
+ }
+ }
+ },
+ "SB_DFFN": {
+ "attributes": {
+ "blackbox": 1,
+ "cells_not_processed": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 4 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:216"
+ }
+ }
+ }
+ },
+ "SB_DFFNE": {
+ "attributes": {
+ "blackbox": 1,
+ "cells_not_processed": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "E": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 5 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
+ }
+ },
+ "E": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:221"
+ }
+ }
+ }
+ },
+ "SB_DFFNER": {
+ "attributes": {
+ "blackbox": 1,
+ "cells_not_processed": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "E": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "R": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 6 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
+ }
+ },
+ "E": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
+ }
+ },
+ "R": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:269"
+ }
+ }
+ }
+ },
+ "SB_DFFNES": {
+ "attributes": {
+ "blackbox": 1,
+ "cells_not_processed": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "E": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "S": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 6 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
+ }
+ },
+ "E": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
+ }
+ },
+ "S": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:287"
+ }
+ }
+ }
+ },
+ "SB_DFFNESR": {
+ "attributes": {
+ "blackbox": 1,
+ "cells_not_processed": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "E": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "R": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 6 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
+ }
+ },
+ "E": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
+ }
+ },
+ "R": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:259"
+ }
+ }
+ }
+ },
+ "SB_DFFNESS": {
+ "attributes": {
+ "blackbox": 1,
+ "cells_not_processed": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "E": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "S": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 6 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
+ }
+ },
+ "E": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
+ }
+ },
+ "S": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:277"
+ }
+ }
+ }
+ },
+ "SB_DFFNR": {
+ "attributes": {
+ "blackbox": 1,
+ "cells_not_processed": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "R": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 5 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
+ }
+ },
+ "R": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:235"
+ }
+ }
+ }
+ },
+ "SB_DFFNS": {
+ "attributes": {
+ "blackbox": 1,
+ "cells_not_processed": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "S": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 5 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
+ }
+ },
+ "S": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:251"
+ }
+ }
+ }
+ },
+ "SB_DFFNSR": {
+ "attributes": {
+ "blackbox": 1,
+ "cells_not_processed": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "R": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 5 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
+ }
+ },
+ "R": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:227"
+ }
+ }
+ }
+ },
+ "SB_DFFNSS": {
+ "attributes": {
+ "blackbox": 1,
+ "cells_not_processed": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "S": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 5 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
+ }
+ },
+ "S": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:243"
+ }
+ }
+ }
+ },
+ "SB_DFFR": {
+ "attributes": {
+ "blackbox": 1,
+ "cells_not_processed": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "R": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 5 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
+ }
+ },
+ "R": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:154"
+ }
+ }
+ }
+ },
+ "SB_DFFS": {
+ "attributes": {
+ "blackbox": 1,
+ "cells_not_processed": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "S": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 5 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
+ }
+ },
+ "S": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:170"
+ }
+ }
+ }
+ },
+ "SB_DFFSR": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "R": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 5 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
+ }
+ },
+ "R": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:146"
+ }
+ }
+ }
+ },
+ "SB_DFFSS": {
+ "attributes": {
+ "blackbox": 1,
+ "cells_not_processed": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
+ },
+ "ports": {
+ "Q": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "S": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 5 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "C": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
+ }
+ },
+ "Q": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
+ }
+ },
+ "S": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:162"
+ }
+ }
+ }
+ },
+ "SB_FILTER_50NS": {
+ "attributes": {
+ "blackbox": 1,
+ "cells_not_processed": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1138"
+ },
+ "ports": {
+ "FILTERIN": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "FILTEROUT": {
+ "direction": "output",
+ "bits": [ 3 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "FILTERIN": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1139"
+ }
+ },
+ "FILTEROUT": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1140"
+ }
+ }
+ }
+ },
+ "SB_GB": {
+ "attributes": {
+ "blackbox": 1,
+ "cells_not_processed": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:112"
+ },
+ "ports": {
+ "USER_SIGNAL_TO_GLOBAL_BUFFER": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "GLOBAL_BUFFER_OUTPUT": {
+ "direction": "output",
+ "bits": [ 3 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "GLOBAL_BUFFER_OUTPUT": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:114"
+ }
+ },
+ "USER_SIGNAL_TO_GLOBAL_BUFFER": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:113"
+ }
+ }
+ }
+ },
+ "SB_GB_IO": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:73"
+ },
+ "ports": {
+ "PACKAGE_PIN": {
+ "direction": "inout",
+ "bits": [ 2 ]
+ },
+ "GLOBAL_BUFFER_OUTPUT": {
+ "direction": "output",
+ "bits": [ 3 ]
+ },
+ "LATCH_INPUT_VALUE": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "CLOCK_ENABLE": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "INPUT_CLK": {
+ "direction": "input",
+ "bits": [ 6 ]
+ },
+ "OUTPUT_CLK": {
+ "direction": "input",
+ "bits": [ 7 ]
+ },
+ "OUTPUT_ENABLE": {
+ "direction": "input",
+ "bits": [ 8 ]
+ },
+ "D_OUT_0": {
+ "direction": "input",
+ "bits": [ 9 ]
+ },
+ "D_OUT_1": {
+ "direction": "input",
+ "bits": [ 10 ]
+ },
+ "D_IN_0": {
+ "direction": "output",
+ "bits": [ 11 ]
+ },
+ "D_IN_1": {
+ "direction": "output",
+ "bits": [ 12 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "CLOCK_ENABLE": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:77"
+ }
+ },
+ "D_IN_0": {
+ "hide_name": 0,
+ "bits": [ 11 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:83"
+ }
+ },
+ "D_IN_1": {
+ "hide_name": 0,
+ "bits": [ 12 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:84"
+ }
+ },
+ "D_OUT_0": {
+ "hide_name": 0,
+ "bits": [ 9 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:81"
+ }
+ },
+ "D_OUT_1": {
+ "hide_name": 0,
+ "bits": [ 10 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:82"
+ }
+ },
+ "GLOBAL_BUFFER_OUTPUT": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:75"
+ }
+ },
+ "INPUT_CLK": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:78"
+ }
+ },
+ "LATCH_INPUT_VALUE": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:76"
+ }
+ },
+ "OUTPUT_CLK": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:79"
+ }
+ },
+ "OUTPUT_ENABLE": {
+ "hide_name": 0,
+ "bits": [ 8 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:80"
+ }
+ },
+ "PACKAGE_PIN": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:74"
+ }
+ }
+ }
+ },
+ "SB_HFOSC": {
+ "attributes": {
+ "blackbox": 1,
+ "cells_not_processed": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:981"
+ },
+ "ports": {
+ "CLKHFPU": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "CLKHFEN": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "CLKHF": {
+ "direction": "output",
+ "bits": [ 4 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "CLKHF": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:984"
+ }
+ },
+ "CLKHFEN": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:983"
+ }
+ },
+ "CLKHFPU": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:982"
+ }
+ }
+ }
+ },
+ "SB_I2C": {
+ "attributes": {
+ "blackbox": 1,
+ "cells_not_processed": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1015"
+ },
+ "ports": {
+ "SBCLKI": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "SBRWI": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "SBSTBI": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "SBADRI7": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "SBADRI6": {
+ "direction": "input",
+ "bits": [ 6 ]
+ },
+ "SBADRI5": {
+ "direction": "input",
+ "bits": [ 7 ]
+ },
+ "SBADRI4": {
+ "direction": "input",
+ "bits": [ 8 ]
+ },
+ "SBADRI3": {
+ "direction": "input",
+ "bits": [ 9 ]
+ },
+ "SBADRI2": {
+ "direction": "input",
+ "bits": [ 10 ]
+ },
+ "SBADRI1": {
+ "direction": "input",
+ "bits": [ 11 ]
+ },
+ "SBADRI0": {
+ "direction": "input",
+ "bits": [ 12 ]
+ },
+ "SBDATI7": {
+ "direction": "input",
+ "bits": [ 13 ]
+ },
+ "SBDATI6": {
+ "direction": "input",
+ "bits": [ 14 ]
+ },
+ "SBDATI5": {
+ "direction": "input",
+ "bits": [ 15 ]
+ },
+ "SBDATI4": {
+ "direction": "input",
+ "bits": [ 16 ]
+ },
+ "SBDATI3": {
+ "direction": "input",
+ "bits": [ 17 ]
+ },
+ "SBDATI2": {
+ "direction": "input",
+ "bits": [ 18 ]
+ },
+ "SBDATI1": {
+ "direction": "input",
+ "bits": [ 19 ]
+ },
+ "SBDATI0": {
+ "direction": "input",
+ "bits": [ 20 ]
+ },
+ "SCLI": {
+ "direction": "input",
+ "bits": [ 21 ]
+ },
+ "SDAI": {
+ "direction": "input",
+ "bits": [ 22 ]
+ },
+ "SBDATO7": {
+ "direction": "output",
+ "bits": [ 23 ]
+ },
+ "SBDATO6": {
+ "direction": "output",
+ "bits": [ 24 ]
+ },
+ "SBDATO5": {
+ "direction": "output",
+ "bits": [ 25 ]
+ },
+ "SBDATO4": {
+ "direction": "output",
+ "bits": [ 26 ]
+ },
+ "SBDATO3": {
+ "direction": "output",
+ "bits": [ 27 ]
+ },
+ "SBDATO2": {
+ "direction": "output",
+ "bits": [ 28 ]
+ },
+ "SBDATO1": {
+ "direction": "output",
+ "bits": [ 29 ]
+ },
+ "SBDATO0": {
+ "direction": "output",
+ "bits": [ 30 ]
+ },
+ "SBACKO": {
+ "direction": "output",
+ "bits": [ 31 ]
+ },
+ "I2CIRQ": {
+ "direction": "output",
+ "bits": [ 32 ]
+ },
+ "I2CWKUP": {
+ "direction": "output",
+ "bits": [ 33 ]
+ },
+ "SCLO": {
+ "direction": "output",
+ "bits": [ 34 ]
+ },
+ "SCLOE": {
+ "direction": "output",
+ "bits": [ 35 ]
+ },
+ "SDAO": {
+ "direction": "output",
+ "bits": [ 36 ]
+ },
+ "SDAOE": {
+ "direction": "output",
+ "bits": [ 37 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "I2CIRQ": {
+ "hide_name": 0,
+ "bits": [ 32 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1046"
+ }
+ },
+ "I2CWKUP": {
+ "hide_name": 0,
+ "bits": [ 33 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1047"
+ }
+ },
+ "SBACKO": {
+ "hide_name": 0,
+ "bits": [ 31 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1045"
+ }
+ },
+ "SBADRI0": {
+ "hide_name": 0,
+ "bits": [ 12 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1026"
+ }
+ },
+ "SBADRI1": {
+ "hide_name": 0,
+ "bits": [ 11 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1025"
+ }
+ },
+ "SBADRI2": {
+ "hide_name": 0,
+ "bits": [ 10 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1024"
+ }
+ },
+ "SBADRI3": {
+ "hide_name": 0,
+ "bits": [ 9 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1023"
+ }
+ },
+ "SBADRI4": {
+ "hide_name": 0,
+ "bits": [ 8 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1022"
+ }
+ },
+ "SBADRI5": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1021"
+ }
+ },
+ "SBADRI6": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1020"
+ }
+ },
+ "SBADRI7": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1019"
+ }
+ },
+ "SBCLKI": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1016"
+ }
+ },
+ "SBDATI0": {
+ "hide_name": 0,
+ "bits": [ 20 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1034"
+ }
+ },
+ "SBDATI1": {
+ "hide_name": 0,
+ "bits": [ 19 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1033"
+ }
+ },
+ "SBDATI2": {
+ "hide_name": 0,
+ "bits": [ 18 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1032"
+ }
+ },
+ "SBDATI3": {
+ "hide_name": 0,
+ "bits": [ 17 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1031"
+ }
+ },
+ "SBDATI4": {
+ "hide_name": 0,
+ "bits": [ 16 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1030"
+ }
+ },
+ "SBDATI5": {
+ "hide_name": 0,
+ "bits": [ 15 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1029"
+ }
+ },
+ "SBDATI6": {
+ "hide_name": 0,
+ "bits": [ 14 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1028"
+ }
+ },
+ "SBDATI7": {
+ "hide_name": 0,
+ "bits": [ 13 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1027"
+ }
+ },
+ "SBDATO0": {
+ "hide_name": 0,
+ "bits": [ 30 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1044"
+ }
+ },
+ "SBDATO1": {
+ "hide_name": 0,
+ "bits": [ 29 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1043"
+ }
+ },
+ "SBDATO2": {
+ "hide_name": 0,
+ "bits": [ 28 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1042"
+ }
+ },
+ "SBDATO3": {
+ "hide_name": 0,
+ "bits": [ 27 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1041"
+ }
+ },
+ "SBDATO4": {
+ "hide_name": 0,
+ "bits": [ 26 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1040"
+ }
+ },
+ "SBDATO5": {
+ "hide_name": 0,
+ "bits": [ 25 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1039"
+ }
+ },
+ "SBDATO6": {
+ "hide_name": 0,
+ "bits": [ 24 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1038"
+ }
+ },
+ "SBDATO7": {
+ "hide_name": 0,
+ "bits": [ 23 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1037"
+ }
+ },
+ "SBRWI": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1017"
+ }
+ },
+ "SBSTBI": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1018"
+ }
+ },
+ "SCLI": {
+ "hide_name": 0,
+ "bits": [ 21 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1035"
+ }
+ },
+ "SCLO": {
+ "hide_name": 0,
+ "bits": [ 34 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1048"
+ }
+ },
+ "SCLOE": {
+ "hide_name": 0,
+ "bits": [ 35 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1049"
+ }
+ },
+ "SDAI": {
+ "hide_name": 0,
+ "bits": [ 22 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1036"
+ }
+ },
+ "SDAO": {
+ "hide_name": 0,
+ "bits": [ 36 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1050"
+ }
+ },
+ "SDAOE": {
+ "hide_name": 0,
+ "bits": [ 37 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1051"
+ }
+ }
+ }
+ },
+ "SB_IO": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:7"
+ },
+ "ports": {
+ "PACKAGE_PIN": {
+ "direction": "inout",
+ "bits": [ 2 ]
+ },
+ "LATCH_INPUT_VALUE": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "CLOCK_ENABLE": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "INPUT_CLK": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "OUTPUT_CLK": {
+ "direction": "input",
+ "bits": [ 6 ]
+ },
+ "OUTPUT_ENABLE": {
+ "direction": "input",
+ "bits": [ 7 ]
+ },
+ "D_OUT_0": {
+ "direction": "input",
+ "bits": [ 8 ]
+ },
+ "D_OUT_1": {
+ "direction": "input",
+ "bits": [ 9 ]
+ },
+ "D_IN_0": {
+ "direction": "output",
+ "bits": [ 10 ]
+ },
+ "D_IN_1": {
+ "direction": "output",
+ "bits": [ 11 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "CLOCK_ENABLE": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:10"
+ }
+ },
+ "D_IN_0": {
+ "hide_name": 0,
+ "bits": [ 10 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:16"
+ }
+ },
+ "D_IN_1": {
+ "hide_name": 0,
+ "bits": [ 11 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:17"
+ }
+ },
+ "D_OUT_0": {
+ "hide_name": 0,
+ "bits": [ 8 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:14"
+ }
+ },
+ "D_OUT_1": {
+ "hide_name": 0,
+ "bits": [ 9 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:15"
+ }
+ },
+ "INPUT_CLK": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:11"
+ }
+ },
+ "LATCH_INPUT_VALUE": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:9"
+ }
+ },
+ "OUTPUT_CLK": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:12"
+ }
+ },
+ "OUTPUT_ENABLE": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:13"
+ }
+ },
+ "PACKAGE_PIN": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:8"
+ }
+ }
+ }
+ },
+ "SB_IO_I3C": {
+ "attributes": {
+ "blackbox": 1,
+ "cells_not_processed": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1144"
+ },
+ "ports": {
+ "PACKAGE_PIN": {
+ "direction": "inout",
+ "bits": [ 2 ]
+ },
+ "LATCH_INPUT_VALUE": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "CLOCK_ENABLE": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "INPUT_CLK": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "OUTPUT_CLK": {
+ "direction": "input",
+ "bits": [ 6 ]
+ },
+ "OUTPUT_ENABLE": {
+ "direction": "input",
+ "bits": [ 7 ]
+ },
+ "D_OUT_0": {
+ "direction": "input",
+ "bits": [ 8 ]
+ },
+ "D_OUT_1": {
+ "direction": "input",
+ "bits": [ 9 ]
+ },
+ "D_IN_0": {
+ "direction": "output",
+ "bits": [ 10 ]
+ },
+ "D_IN_1": {
+ "direction": "output",
+ "bits": [ 11 ]
+ },
+ "PU_ENB": {
+ "direction": "input",
+ "bits": [ 12 ]
+ },
+ "WEAK_PU_ENB": {
+ "direction": "input",
+ "bits": [ 13 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "CLOCK_ENABLE": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1147"
+ }
+ },
+ "D_IN_0": {
+ "hide_name": 0,
+ "bits": [ 10 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1153"
+ }
+ },
+ "D_IN_1": {
+ "hide_name": 0,
+ "bits": [ 11 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1154"
+ }
+ },
+ "D_OUT_0": {
+ "hide_name": 0,
+ "bits": [ 8 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1151"
+ }
+ },
+ "D_OUT_1": {
+ "hide_name": 0,
+ "bits": [ 9 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1152"
+ }
+ },
+ "INPUT_CLK": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1148"
+ }
+ },
+ "LATCH_INPUT_VALUE": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1146"
+ }
+ },
+ "OUTPUT_CLK": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1149"
+ }
+ },
+ "OUTPUT_ENABLE": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1150"
+ }
+ },
+ "PACKAGE_PIN": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1145"
+ }
+ },
+ "PU_ENB": {
+ "hide_name": 0,
+ "bits": [ 12 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1155"
+ }
+ },
+ "WEAK_PU_ENB": {
+ "hide_name": 0,
+ "bits": [ 13 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1156"
+ }
+ }
+ }
+ },
+ "SB_IO_OD": {
+ "attributes": {
+ "blackbox": 1,
+ "cells_not_processed": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1213"
+ },
+ "ports": {
+ "PACKAGEPIN": {
+ "direction": "inout",
+ "bits": [ 2 ]
+ },
+ "LATCHINPUTVALUE": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "CLOCKENABLE": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "INPUTCLK": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "OUTPUTCLK": {
+ "direction": "input",
+ "bits": [ 6 ]
+ },
+ "OUTPUTENABLE": {
+ "direction": "input",
+ "bits": [ 7 ]
+ },
+ "DOUT1": {
+ "direction": "input",
+ "bits": [ 8 ]
+ },
+ "DOUT0": {
+ "direction": "input",
+ "bits": [ 9 ]
+ },
+ "DIN1": {
+ "direction": "output",
+ "bits": [ 10 ]
+ },
+ "DIN0": {
+ "direction": "output",
+ "bits": [ 11 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "CLOCKENABLE": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1216"
+ }
+ },
+ "DIN0": {
+ "hide_name": 0,
+ "bits": [ 11 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1223"
+ }
+ },
+ "DIN1": {
+ "hide_name": 0,
+ "bits": [ 10 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1222"
+ }
+ },
+ "DOUT0": {
+ "hide_name": 0,
+ "bits": [ 9 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1221"
+ }
+ },
+ "DOUT1": {
+ "hide_name": 0,
+ "bits": [ 8 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1220"
+ }
+ },
+ "INPUTCLK": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1217"
+ }
+ },
+ "LATCHINPUTVALUE": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1215"
+ }
+ },
+ "OUTPUTCLK": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1218"
+ }
+ },
+ "OUTPUTENABLE": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1219"
+ }
+ },
+ "PACKAGEPIN": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1214"
+ }
+ }
+ }
+ },
+ "SB_LEDDA_IP": {
+ "attributes": {
+ "blackbox": 1,
+ "cells_not_processed": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1112"
+ },
+ "ports": {
+ "LEDDCS": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "LEDDCLK": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "LEDDDAT7": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "LEDDDAT6": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "LEDDDAT5": {
+ "direction": "input",
+ "bits": [ 6 ]
+ },
+ "LEDDDAT4": {
+ "direction": "input",
+ "bits": [ 7 ]
+ },
+ "LEDDDAT3": {
+ "direction": "input",
+ "bits": [ 8 ]
+ },
+ "LEDDDAT2": {
+ "direction": "input",
+ "bits": [ 9 ]
+ },
+ "LEDDDAT1": {
+ "direction": "input",
+ "bits": [ 10 ]
+ },
+ "LEDDDAT0": {
+ "direction": "input",
+ "bits": [ 11 ]
+ },
+ "LEDDADDR3": {
+ "direction": "input",
+ "bits": [ 12 ]
+ },
+ "LEDDADDR2": {
+ "direction": "input",
+ "bits": [ 13 ]
+ },
+ "LEDDADDR1": {
+ "direction": "input",
+ "bits": [ 14 ]
+ },
+ "LEDDADDR0": {
+ "direction": "input",
+ "bits": [ 15 ]
+ },
+ "LEDDDEN": {
+ "direction": "input",
+ "bits": [ 16 ]
+ },
+ "LEDDEXE": {
+ "direction": "input",
+ "bits": [ 17 ]
+ },
+ "LEDDRST": {
+ "direction": "input",
+ "bits": [ 18 ]
+ },
+ "PWMOUT0": {
+ "direction": "output",
+ "bits": [ 19 ]
+ },
+ "PWMOUT1": {
+ "direction": "output",
+ "bits": [ 20 ]
+ },
+ "PWMOUT2": {
+ "direction": "output",
+ "bits": [ 21 ]
+ },
+ "LEDDON": {
+ "direction": "output",
+ "bits": [ 22 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "LEDDADDR0": {
+ "hide_name": 0,
+ "bits": [ 15 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1126"
+ }
+ },
+ "LEDDADDR1": {
+ "hide_name": 0,
+ "bits": [ 14 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1125"
+ }
+ },
+ "LEDDADDR2": {
+ "hide_name": 0,
+ "bits": [ 13 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1124"
+ }
+ },
+ "LEDDADDR3": {
+ "hide_name": 0,
+ "bits": [ 12 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1123"
+ }
+ },
+ "LEDDCLK": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1114"
+ }
+ },
+ "LEDDCS": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1113"
+ }
+ },
+ "LEDDDAT0": {
+ "hide_name": 0,
+ "bits": [ 11 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1122"
+ }
+ },
+ "LEDDDAT1": {
+ "hide_name": 0,
+ "bits": [ 10 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1121"
+ }
+ },
+ "LEDDDAT2": {
+ "hide_name": 0,
+ "bits": [ 9 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1120"
+ }
+ },
+ "LEDDDAT3": {
+ "hide_name": 0,
+ "bits": [ 8 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1119"
+ }
+ },
+ "LEDDDAT4": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1118"
+ }
+ },
+ "LEDDDAT5": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1117"
+ }
+ },
+ "LEDDDAT6": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1116"
+ }
+ },
+ "LEDDDAT7": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1115"
+ }
+ },
+ "LEDDDEN": {
+ "hide_name": 0,
+ "bits": [ 16 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1127"
+ }
+ },
+ "LEDDEXE": {
+ "hide_name": 0,
+ "bits": [ 17 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1128"
+ }
+ },
+ "LEDDON": {
+ "hide_name": 0,
+ "bits": [ 22 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1133"
+ }
+ },
+ "LEDDRST": {
+ "hide_name": 0,
+ "bits": [ 18 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1129"
+ }
+ },
+ "PWMOUT0": {
+ "hide_name": 0,
+ "bits": [ 19 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1130"
+ }
+ },
+ "PWMOUT1": {
+ "hide_name": 0,
+ "bits": [ 20 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1131"
+ }
+ },
+ "PWMOUT2": {
+ "hide_name": 0,
+ "bits": [ 21 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1132"
+ }
+ }
+ }
+ },
+ "SB_LFOSC": {
+ "attributes": {
+ "blackbox": 1,
+ "cells_not_processed": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:990"
+ },
+ "ports": {
+ "CLKLFPU": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "CLKLFEN": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "CLKLF": {
+ "direction": "output",
+ "bits": [ 4 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "CLKLF": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:993"
+ }
+ },
+ "CLKLFEN": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:992"
+ }
+ },
+ "CLKLFPU": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:991"
+ }
+ }
+ }
+ },
+ "SB_LUT4": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
+ },
+ "ports": {
+ "O": {
+ "direction": "output",
+ "bits": [ 2 ]
+ },
+ "I0": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "I1": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "I2": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "I3": {
+ "direction": "input",
+ "bits": [ 6 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "I0": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
+ }
+ },
+ "I1": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
+ }
+ },
+ "I2": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
+ }
+ },
+ "I3": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
+ }
+ },
+ "O": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:121"
+ }
+ }
+ }
+ },
+ "SB_MAC16": {
+ "attributes": {
+ "blackbox": 1,
+ "cells_not_processed": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:891"
+ },
+ "ports": {
+ "CLK": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "CE": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "C": {
+ "direction": "input",
+ "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ]
+ },
+ "A": {
+ "direction": "input",
+ "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ]
+ },
+ "B": {
+ "direction": "input",
+ "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ]
+ },
+ "D": {
+ "direction": "input",
+ "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ]
+ },
+ "AHOLD": {
+ "direction": "input",
+ "bits": [ 68 ]
+ },
+ "BHOLD": {
+ "direction": "input",
+ "bits": [ 69 ]
+ },
+ "CHOLD": {
+ "direction": "input",
+ "bits": [ 70 ]
+ },
+ "DHOLD": {
+ "direction": "input",
+ "bits": [ 71 ]
+ },
+ "IRSTTOP": {
+ "direction": "input",
+ "bits": [ 72 ]
+ },
+ "IRSTBOT": {
+ "direction": "input",
+ "bits": [ 73 ]
+ },
+ "ORSTTOP": {
+ "direction": "input",
+ "bits": [ 74 ]
+ },
+ "ORSTBOT": {
+ "direction": "input",
+ "bits": [ 75 ]
+ },
+ "OLOADTOP": {
+ "direction": "input",
+ "bits": [ 76 ]
+ },
+ "OLOADBOT": {
+ "direction": "input",
+ "bits": [ 77 ]
+ },
+ "ADDSUBTOP": {
+ "direction": "input",
+ "bits": [ 78 ]
+ },
+ "ADDSUBBOT": {
+ "direction": "input",
+ "bits": [ 79 ]
+ },
+ "OHOLDTOP": {
+ "direction": "input",
+ "bits": [ 80 ]
+ },
+ "OHOLDBOT": {
+ "direction": "input",
+ "bits": [ 81 ]
+ },
+ "CI": {
+ "direction": "input",
+ "bits": [ 82 ]
+ },
+ "ACCUMCI": {
+ "direction": "input",
+ "bits": [ 83 ]
+ },
+ "SIGNEXTIN": {
+ "direction": "input",
+ "bits": [ 84 ]
+ },
+ "O": {
+ "direction": "output",
+ "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ]
+ },
+ "CO": {
+ "direction": "output",
+ "bits": [ 117 ]
+ },
+ "ACCUMCO": {
+ "direction": "output",
+ "bits": [ 118 ]
+ },
+ "SIGNEXTOUT": {
+ "direction": "output",
+ "bits": [ 119 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "A": {
+ "hide_name": 0,
+ "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:895"
+ }
+ },
+ "ACCUMCI": {
+ "hide_name": 0,
+ "bits": [ 83 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:913"
+ }
+ },
+ "ACCUMCO": {
+ "hide_name": 0,
+ "bits": [ 118 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:917"
+ }
+ },
+ "ADDSUBBOT": {
+ "hide_name": 0,
+ "bits": [ 79 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:909"
+ }
+ },
+ "ADDSUBTOP": {
+ "hide_name": 0,
+ "bits": [ 78 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:908"
+ }
+ },
+ "AHOLD": {
+ "hide_name": 0,
+ "bits": [ 68 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:898"
+ }
+ },
+ "B": {
+ "hide_name": 0,
+ "bits": [ 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:896"
+ }
+ },
+ "BHOLD": {
+ "hide_name": 0,
+ "bits": [ 69 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:899"
+ }
+ },
+ "C": {
+ "hide_name": 0,
+ "bits": [ 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:894"
+ }
+ },
+ "CE": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:893"
+ }
+ },
+ "CHOLD": {
+ "hide_name": 0,
+ "bits": [ 70 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:900"
+ }
+ },
+ "CI": {
+ "hide_name": 0,
+ "bits": [ 82 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:912"
+ }
+ },
+ "CLK": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:892"
+ }
+ },
+ "CO": {
+ "hide_name": 0,
+ "bits": [ 117 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:916"
+ }
+ },
+ "D": {
+ "hide_name": 0,
+ "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:897"
+ }
+ },
+ "DHOLD": {
+ "hide_name": 0,
+ "bits": [ 71 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:901"
+ }
+ },
+ "IRSTBOT": {
+ "hide_name": 0,
+ "bits": [ 73 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:903"
+ }
+ },
+ "IRSTTOP": {
+ "hide_name": 0,
+ "bits": [ 72 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:902"
+ }
+ },
+ "O": {
+ "hide_name": 0,
+ "bits": [ 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:915"
+ }
+ },
+ "OHOLDBOT": {
+ "hide_name": 0,
+ "bits": [ 81 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:911"
+ }
+ },
+ "OHOLDTOP": {
+ "hide_name": 0,
+ "bits": [ 80 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:910"
+ }
+ },
+ "OLOADBOT": {
+ "hide_name": 0,
+ "bits": [ 77 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:907"
+ }
+ },
+ "OLOADTOP": {
+ "hide_name": 0,
+ "bits": [ 76 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:906"
+ }
+ },
+ "ORSTBOT": {
+ "hide_name": 0,
+ "bits": [ 75 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:905"
+ }
+ },
+ "ORSTTOP": {
+ "hide_name": 0,
+ "bits": [ 74 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:904"
+ }
+ },
+ "SIGNEXTIN": {
+ "hide_name": 0,
+ "bits": [ 84 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:914"
+ }
+ },
+ "SIGNEXTOUT": {
+ "hide_name": 0,
+ "bits": [ 119 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:918"
+ }
+ }
+ }
+ },
+ "SB_PLL40_2F_CORE": {
+ "attributes": {
+ "blackbox": 1,
+ "cells_not_processed": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:810"
+ },
+ "ports": {
+ "REFERENCECLK": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "PLLOUTCOREA": {
+ "direction": "output",
+ "bits": [ 3 ]
+ },
+ "PLLOUTGLOBALA": {
+ "direction": "output",
+ "bits": [ 4 ]
+ },
+ "PLLOUTCOREB": {
+ "direction": "output",
+ "bits": [ 5 ]
+ },
+ "PLLOUTGLOBALB": {
+ "direction": "output",
+ "bits": [ 6 ]
+ },
+ "EXTFEEDBACK": {
+ "direction": "input",
+ "bits": [ 7 ]
+ },
+ "DYNAMICDELAY": {
+ "direction": "input",
+ "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
+ },
+ "LOCK": {
+ "direction": "output",
+ "bits": [ 16 ]
+ },
+ "BYPASS": {
+ "direction": "input",
+ "bits": [ 17 ]
+ },
+ "RESETB": {
+ "direction": "input",
+ "bits": [ 18 ]
+ },
+ "LATCHINPUTVALUE": {
+ "direction": "input",
+ "bits": [ 19 ]
+ },
+ "SDO": {
+ "direction": "output",
+ "bits": [ 20 ]
+ },
+ "SDI": {
+ "direction": "input",
+ "bits": [ 21 ]
+ },
+ "SCLK": {
+ "direction": "input",
+ "bits": [ 22 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "BYPASS": {
+ "hide_name": 0,
+ "bits": [ 17 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:819"
+ }
+ },
+ "DYNAMICDELAY": {
+ "hide_name": 0,
+ "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:817"
+ }
+ },
+ "EXTFEEDBACK": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:816"
+ }
+ },
+ "LATCHINPUTVALUE": {
+ "hide_name": 0,
+ "bits": [ 19 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:821"
+ }
+ },
+ "LOCK": {
+ "hide_name": 0,
+ "bits": [ 16 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:818"
+ }
+ },
+ "PLLOUTCOREA": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:812"
+ }
+ },
+ "PLLOUTCOREB": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:814"
+ }
+ },
+ "PLLOUTGLOBALA": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:813"
+ }
+ },
+ "PLLOUTGLOBALB": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:815"
+ }
+ },
+ "REFERENCECLK": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:811"
+ }
+ },
+ "RESETB": {
+ "hide_name": 0,
+ "bits": [ 18 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:820"
+ }
+ },
+ "SCLK": {
+ "hide_name": 0,
+ "bits": [ 22 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:824"
+ }
+ },
+ "SDI": {
+ "hide_name": 0,
+ "bits": [ 21 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:823"
+ }
+ },
+ "SDO": {
+ "hide_name": 0,
+ "bits": [ 20 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:822"
+ }
+ }
+ }
+ },
+ "SB_PLL40_2F_PAD": {
+ "attributes": {
+ "blackbox": 1,
+ "cells_not_processed": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:845"
+ },
+ "ports": {
+ "PACKAGEPIN": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "PLLOUTCOREA": {
+ "direction": "output",
+ "bits": [ 3 ]
+ },
+ "PLLOUTGLOBALA": {
+ "direction": "output",
+ "bits": [ 4 ]
+ },
+ "PLLOUTCOREB": {
+ "direction": "output",
+ "bits": [ 5 ]
+ },
+ "PLLOUTGLOBALB": {
+ "direction": "output",
+ "bits": [ 6 ]
+ },
+ "EXTFEEDBACK": {
+ "direction": "input",
+ "bits": [ 7 ]
+ },
+ "DYNAMICDELAY": {
+ "direction": "input",
+ "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
+ },
+ "LOCK": {
+ "direction": "output",
+ "bits": [ 16 ]
+ },
+ "BYPASS": {
+ "direction": "input",
+ "bits": [ 17 ]
+ },
+ "RESETB": {
+ "direction": "input",
+ "bits": [ 18 ]
+ },
+ "LATCHINPUTVALUE": {
+ "direction": "input",
+ "bits": [ 19 ]
+ },
+ "SDO": {
+ "direction": "output",
+ "bits": [ 20 ]
+ },
+ "SDI": {
+ "direction": "input",
+ "bits": [ 21 ]
+ },
+ "SCLK": {
+ "direction": "input",
+ "bits": [ 22 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "BYPASS": {
+ "hide_name": 0,
+ "bits": [ 17 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:854"
+ }
+ },
+ "DYNAMICDELAY": {
+ "hide_name": 0,
+ "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:852"
+ }
+ },
+ "EXTFEEDBACK": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:851"
+ }
+ },
+ "LATCHINPUTVALUE": {
+ "hide_name": 0,
+ "bits": [ 19 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:856"
+ }
+ },
+ "LOCK": {
+ "hide_name": 0,
+ "bits": [ 16 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:853"
+ }
+ },
+ "PACKAGEPIN": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:846"
+ }
+ },
+ "PLLOUTCOREA": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:847"
+ }
+ },
+ "PLLOUTCOREB": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:849"
+ }
+ },
+ "PLLOUTGLOBALA": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:848"
+ }
+ },
+ "PLLOUTGLOBALB": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:850"
+ }
+ },
+ "RESETB": {
+ "hide_name": 0,
+ "bits": [ 18 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:855"
+ }
+ },
+ "SCLK": {
+ "hide_name": 0,
+ "bits": [ 22 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:859"
+ }
+ },
+ "SDI": {
+ "hide_name": 0,
+ "bits": [ 21 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:858"
+ }
+ },
+ "SDO": {
+ "hide_name": 0,
+ "bits": [ 20 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:857"
+ }
+ }
+ }
+ },
+ "SB_PLL40_2_PAD": {
+ "attributes": {
+ "blackbox": 1,
+ "cells_not_processed": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:776"
+ },
+ "ports": {
+ "PACKAGEPIN": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "PLLOUTCOREA": {
+ "direction": "output",
+ "bits": [ 3 ]
+ },
+ "PLLOUTGLOBALA": {
+ "direction": "output",
+ "bits": [ 4 ]
+ },
+ "PLLOUTCOREB": {
+ "direction": "output",
+ "bits": [ 5 ]
+ },
+ "PLLOUTGLOBALB": {
+ "direction": "output",
+ "bits": [ 6 ]
+ },
+ "EXTFEEDBACK": {
+ "direction": "input",
+ "bits": [ 7 ]
+ },
+ "DYNAMICDELAY": {
+ "direction": "input",
+ "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ]
+ },
+ "LOCK": {
+ "direction": "output",
+ "bits": [ 16 ]
+ },
+ "BYPASS": {
+ "direction": "input",
+ "bits": [ 17 ]
+ },
+ "RESETB": {
+ "direction": "input",
+ "bits": [ 18 ]
+ },
+ "LATCHINPUTVALUE": {
+ "direction": "input",
+ "bits": [ 19 ]
+ },
+ "SDO": {
+ "direction": "output",
+ "bits": [ 20 ]
+ },
+ "SDI": {
+ "direction": "input",
+ "bits": [ 21 ]
+ },
+ "SCLK": {
+ "direction": "input",
+ "bits": [ 22 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "BYPASS": {
+ "hide_name": 0,
+ "bits": [ 17 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:785"
+ }
+ },
+ "DYNAMICDELAY": {
+ "hide_name": 0,
+ "bits": [ 8, 9, 10, 11, 12, 13, 14, 15 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:783"
+ }
+ },
+ "EXTFEEDBACK": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:782"
+ }
+ },
+ "LATCHINPUTVALUE": {
+ "hide_name": 0,
+ "bits": [ 19 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:787"
+ }
+ },
+ "LOCK": {
+ "hide_name": 0,
+ "bits": [ 16 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:784"
+ }
+ },
+ "PACKAGEPIN": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:777"
+ }
+ },
+ "PLLOUTCOREA": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:778"
+ }
+ },
+ "PLLOUTCOREB": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:780"
+ }
+ },
+ "PLLOUTGLOBALA": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:779"
+ }
+ },
+ "PLLOUTGLOBALB": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:781"
+ }
+ },
+ "RESETB": {
+ "hide_name": 0,
+ "bits": [ 18 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:786"
+ }
+ },
+ "SCLK": {
+ "hide_name": 0,
+ "bits": [ 22 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:790"
+ }
+ },
+ "SDI": {
+ "hide_name": 0,
+ "bits": [ 21 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:789"
+ }
+ },
+ "SDO": {
+ "hide_name": 0,
+ "bits": [ 20 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:788"
+ }
+ }
+ }
+ },
+ "SB_PLL40_CORE": {
+ "attributes": {
+ "blackbox": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:714"
+ },
+ "ports": {
+ "REFERENCECLK": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "PLLOUTCORE": {
+ "direction": "output",
+ "bits": [ 3 ]
+ },
+ "PLLOUTGLOBAL": {
+ "direction": "output",
+ "bits": [ 4 ]
+ },
+ "EXTFEEDBACK": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "DYNAMICDELAY": {
+ "direction": "input",
+ "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
+ },
+ "LOCK": {
+ "direction": "output",
+ "bits": [ 14 ]
+ },
+ "BYPASS": {
+ "direction": "input",
+ "bits": [ 15 ]
+ },
+ "RESETB": {
+ "direction": "input",
+ "bits": [ 16 ]
+ },
+ "LATCHINPUTVALUE": {
+ "direction": "input",
+ "bits": [ 17 ]
+ },
+ "SDO": {
+ "direction": "output",
+ "bits": [ 18 ]
+ },
+ "SDI": {
+ "direction": "input",
+ "bits": [ 19 ]
+ },
+ "SCLK": {
+ "direction": "input",
+ "bits": [ 20 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "BYPASS": {
+ "hide_name": 0,
+ "bits": [ 15 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:721"
+ }
+ },
+ "DYNAMICDELAY": {
+ "hide_name": 0,
+ "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:719"
+ }
+ },
+ "EXTFEEDBACK": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:718"
+ }
+ },
+ "LATCHINPUTVALUE": {
+ "hide_name": 0,
+ "bits": [ 17 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:723"
+ }
+ },
+ "LOCK": {
+ "hide_name": 0,
+ "bits": [ 14 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:720"
+ }
+ },
+ "PLLOUTCORE": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:716"
+ }
+ },
+ "PLLOUTGLOBAL": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:717"
+ }
+ },
+ "REFERENCECLK": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:715"
+ }
+ },
+ "RESETB": {
+ "hide_name": 0,
+ "bits": [ 16 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:722"
+ }
+ },
+ "SCLK": {
+ "hide_name": 0,
+ "bits": [ 20 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:726"
+ }
+ },
+ "SDI": {
+ "hide_name": 0,
+ "bits": [ 19 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:725"
+ }
+ },
+ "SDO": {
+ "hide_name": 0,
+ "bits": [ 18 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:724"
+ }
+ }
+ }
+ },
+ "SB_PLL40_PAD": {
+ "attributes": {
+ "blackbox": 1,
+ "cells_not_processed": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:745"
+ },
+ "ports": {
+ "PACKAGEPIN": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "PLLOUTCORE": {
+ "direction": "output",
+ "bits": [ 3 ]
+ },
+ "PLLOUTGLOBAL": {
+ "direction": "output",
+ "bits": [ 4 ]
+ },
+ "EXTFEEDBACK": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "DYNAMICDELAY": {
+ "direction": "input",
+ "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ]
+ },
+ "LOCK": {
+ "direction": "output",
+ "bits": [ 14 ]
+ },
+ "BYPASS": {
+ "direction": "input",
+ "bits": [ 15 ]
+ },
+ "RESETB": {
+ "direction": "input",
+ "bits": [ 16 ]
+ },
+ "LATCHINPUTVALUE": {
+ "direction": "input",
+ "bits": [ 17 ]
+ },
+ "SDO": {
+ "direction": "output",
+ "bits": [ 18 ]
+ },
+ "SDI": {
+ "direction": "input",
+ "bits": [ 19 ]
+ },
+ "SCLK": {
+ "direction": "input",
+ "bits": [ 20 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "BYPASS": {
+ "hide_name": 0,
+ "bits": [ 15 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:752"
+ }
+ },
+ "DYNAMICDELAY": {
+ "hide_name": 0,
+ "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:750"
+ }
+ },
+ "EXTFEEDBACK": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:749"
+ }
+ },
+ "LATCHINPUTVALUE": {
+ "hide_name": 0,
+ "bits": [ 17 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:754"
+ }
+ },
+ "LOCK": {
+ "hide_name": 0,
+ "bits": [ 14 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:751"
+ }
+ },
+ "PACKAGEPIN": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:746"
+ }
+ },
+ "PLLOUTCORE": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:747"
+ }
+ },
+ "PLLOUTGLOBAL": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:748"
+ }
+ },
+ "RESETB": {
+ "hide_name": 0,
+ "bits": [ 16 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:753"
+ }
+ },
+ "SCLK": {
+ "hide_name": 0,
+ "bits": [ 20 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:757"
+ }
+ },
+ "SDI": {
+ "hide_name": 0,
+ "bits": [ 19 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:756"
+ }
+ },
+ "SDO": {
+ "hide_name": 0,
+ "bits": [ 18 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:755"
+ }
+ }
+ }
+ },
+ "SB_RAM40_4K": {
+ "attributes": {
+ "blackbox": 1,
+ "cells_not_processed": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:297"
+ },
+ "ports": {
+ "RDATA": {
+ "direction": "output",
+ "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
+ },
+ "RCLK": {
+ "direction": "input",
+ "bits": [ 18 ]
+ },
+ "RCLKE": {
+ "direction": "input",
+ "bits": [ 19 ]
+ },
+ "RE": {
+ "direction": "input",
+ "bits": [ 20 ]
+ },
+ "RADDR": {
+ "direction": "input",
+ "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
+ },
+ "WCLK": {
+ "direction": "input",
+ "bits": [ 32 ]
+ },
+ "WCLKE": {
+ "direction": "input",
+ "bits": [ 33 ]
+ },
+ "WE": {
+ "direction": "input",
+ "bits": [ 34 ]
+ },
+ "WADDR": {
+ "direction": "input",
+ "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
+ },
+ "MASK": {
+ "direction": "input",
+ "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
+ },
+ "WDATA": {
+ "direction": "input",
+ "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "MASK": {
+ "hide_name": 0,
+ "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
+ }
+ },
+ "RADDR": {
+ "hide_name": 0,
+ "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:300"
+ }
+ },
+ "RCLK": {
+ "hide_name": 0,
+ "bits": [ 18 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
+ }
+ },
+ "RCLKE": {
+ "hide_name": 0,
+ "bits": [ 19 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
+ }
+ },
+ "RDATA": {
+ "hide_name": 0,
+ "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:298"
+ }
+ },
+ "RE": {
+ "hide_name": 0,
+ "bits": [ 20 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:299"
+ }
+ },
+ "WADDR": {
+ "hide_name": 0,
+ "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:302"
+ }
+ },
+ "WCLK": {
+ "hide_name": 0,
+ "bits": [ 32 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
+ }
+ },
+ "WCLKE": {
+ "hide_name": 0,
+ "bits": [ 33 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
+ }
+ },
+ "WDATA": {
+ "hide_name": 0,
+ "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:303"
+ }
+ },
+ "WE": {
+ "hide_name": 0,
+ "bits": [ 34 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:301"
+ }
+ }
+ }
+ },
+ "SB_RAM40_4KNR": {
+ "attributes": {
+ "blackbox": 1,
+ "cells_not_processed": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:479"
+ },
+ "ports": {
+ "RDATA": {
+ "direction": "output",
+ "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
+ },
+ "RCLKN": {
+ "direction": "input",
+ "bits": [ 18 ]
+ },
+ "RCLKE": {
+ "direction": "input",
+ "bits": [ 19 ]
+ },
+ "RE": {
+ "direction": "input",
+ "bits": [ 20 ]
+ },
+ "RADDR": {
+ "direction": "input",
+ "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
+ },
+ "WCLK": {
+ "direction": "input",
+ "bits": [ 32 ]
+ },
+ "WCLKE": {
+ "direction": "input",
+ "bits": [ 33 ]
+ },
+ "WE": {
+ "direction": "input",
+ "bits": [ 34 ]
+ },
+ "WADDR": {
+ "direction": "input",
+ "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
+ },
+ "MASK": {
+ "direction": "input",
+ "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
+ },
+ "WDATA": {
+ "direction": "input",
+ "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "MASK": {
+ "hide_name": 0,
+ "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
+ }
+ },
+ "RADDR": {
+ "hide_name": 0,
+ "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:482"
+ }
+ },
+ "RCLKE": {
+ "hide_name": 0,
+ "bits": [ 19 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
+ }
+ },
+ "RCLKN": {
+ "hide_name": 0,
+ "bits": [ 18 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
+ }
+ },
+ "RDATA": {
+ "hide_name": 0,
+ "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:480"
+ }
+ },
+ "RE": {
+ "hide_name": 0,
+ "bits": [ 20 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:481"
+ }
+ },
+ "WADDR": {
+ "hide_name": 0,
+ "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:484"
+ }
+ },
+ "WCLK": {
+ "hide_name": 0,
+ "bits": [ 32 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
+ }
+ },
+ "WCLKE": {
+ "hide_name": 0,
+ "bits": [ 33 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
+ }
+ },
+ "WDATA": {
+ "hide_name": 0,
+ "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:485"
+ }
+ },
+ "WE": {
+ "hide_name": 0,
+ "bits": [ 34 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:483"
+ }
+ }
+ }
+ },
+ "SB_RAM40_4KNRNW": {
+ "attributes": {
+ "blackbox": 1,
+ "cells_not_processed": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:603"
+ },
+ "ports": {
+ "RDATA": {
+ "direction": "output",
+ "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
+ },
+ "RCLKN": {
+ "direction": "input",
+ "bits": [ 18 ]
+ },
+ "RCLKE": {
+ "direction": "input",
+ "bits": [ 19 ]
+ },
+ "RE": {
+ "direction": "input",
+ "bits": [ 20 ]
+ },
+ "RADDR": {
+ "direction": "input",
+ "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
+ },
+ "WCLKN": {
+ "direction": "input",
+ "bits": [ 32 ]
+ },
+ "WCLKE": {
+ "direction": "input",
+ "bits": [ 33 ]
+ },
+ "WE": {
+ "direction": "input",
+ "bits": [ 34 ]
+ },
+ "WADDR": {
+ "direction": "input",
+ "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
+ },
+ "MASK": {
+ "direction": "input",
+ "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
+ },
+ "WDATA": {
+ "direction": "input",
+ "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "MASK": {
+ "hide_name": 0,
+ "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
+ }
+ },
+ "RADDR": {
+ "hide_name": 0,
+ "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:606"
+ }
+ },
+ "RCLKE": {
+ "hide_name": 0,
+ "bits": [ 19 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
+ }
+ },
+ "RCLKN": {
+ "hide_name": 0,
+ "bits": [ 18 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
+ }
+ },
+ "RDATA": {
+ "hide_name": 0,
+ "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:604"
+ }
+ },
+ "RE": {
+ "hide_name": 0,
+ "bits": [ 20 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:605"
+ }
+ },
+ "WADDR": {
+ "hide_name": 0,
+ "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:608"
+ }
+ },
+ "WCLKE": {
+ "hide_name": 0,
+ "bits": [ 33 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
+ }
+ },
+ "WCLKN": {
+ "hide_name": 0,
+ "bits": [ 32 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
+ }
+ },
+ "WDATA": {
+ "hide_name": 0,
+ "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:609"
+ }
+ },
+ "WE": {
+ "hide_name": 0,
+ "bits": [ 34 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:607"
+ }
+ }
+ }
+ },
+ "SB_RAM40_4KNW": {
+ "attributes": {
+ "blackbox": 1,
+ "cells_not_processed": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:541"
+ },
+ "ports": {
+ "RDATA": {
+ "direction": "output",
+ "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ]
+ },
+ "RCLK": {
+ "direction": "input",
+ "bits": [ 18 ]
+ },
+ "RCLKE": {
+ "direction": "input",
+ "bits": [ 19 ]
+ },
+ "RE": {
+ "direction": "input",
+ "bits": [ 20 ]
+ },
+ "RADDR": {
+ "direction": "input",
+ "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
+ },
+ "WCLKN": {
+ "direction": "input",
+ "bits": [ 32 ]
+ },
+ "WCLKE": {
+ "direction": "input",
+ "bits": [ 33 ]
+ },
+ "WE": {
+ "direction": "input",
+ "bits": [ 34 ]
+ },
+ "WADDR": {
+ "direction": "input",
+ "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ]
+ },
+ "MASK": {
+ "direction": "input",
+ "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ]
+ },
+ "WDATA": {
+ "direction": "input",
+ "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "MASK": {
+ "hide_name": 0,
+ "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
+ }
+ },
+ "RADDR": {
+ "hide_name": 0,
+ "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:544"
+ }
+ },
+ "RCLK": {
+ "hide_name": 0,
+ "bits": [ 18 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
+ }
+ },
+ "RCLKE": {
+ "hide_name": 0,
+ "bits": [ 19 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
+ }
+ },
+ "RDATA": {
+ "hide_name": 0,
+ "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:542"
+ }
+ },
+ "RE": {
+ "hide_name": 0,
+ "bits": [ 20 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:543"
+ }
+ },
+ "WADDR": {
+ "hide_name": 0,
+ "bits": [ 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:546"
+ }
+ },
+ "WCLKE": {
+ "hide_name": 0,
+ "bits": [ 33 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
+ }
+ },
+ "WCLKN": {
+ "hide_name": 0,
+ "bits": [ 32 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
+ }
+ },
+ "WDATA": {
+ "hide_name": 0,
+ "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:547"
+ }
+ },
+ "WE": {
+ "hide_name": 0,
+ "bits": [ 34 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:545"
+ }
+ }
+ }
+ },
+ "SB_RGBA_DRV": {
+ "attributes": {
+ "blackbox": 1,
+ "cells_not_processed": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:998"
+ },
+ "ports": {
+ "CURREN": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "RGBLEDEN": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "RGB0PWM": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "RGB1PWM": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "RGB2PWM": {
+ "direction": "input",
+ "bits": [ 6 ]
+ },
+ "RGB0": {
+ "direction": "output",
+ "bits": [ 7 ]
+ },
+ "RGB1": {
+ "direction": "output",
+ "bits": [ 8 ]
+ },
+ "RGB2": {
+ "direction": "output",
+ "bits": [ 9 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "CURREN": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:999"
+ }
+ },
+ "RGB0": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1004"
+ }
+ },
+ "RGB0PWM": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1001"
+ }
+ },
+ "RGB1": {
+ "hide_name": 0,
+ "bits": [ 8 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1005"
+ }
+ },
+ "RGB1PWM": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1002"
+ }
+ },
+ "RGB2": {
+ "hide_name": 0,
+ "bits": [ 9 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1006"
+ }
+ },
+ "RGB2PWM": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1003"
+ }
+ },
+ "RGBLEDEN": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1000"
+ }
+ }
+ }
+ },
+ "SB_SPI": {
+ "attributes": {
+ "blackbox": 1,
+ "cells_not_processed": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1058"
+ },
+ "ports": {
+ "SBCLKI": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "SBRWI": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "SBSTBI": {
+ "direction": "input",
+ "bits": [ 4 ]
+ },
+ "SBADRI7": {
+ "direction": "input",
+ "bits": [ 5 ]
+ },
+ "SBADRI6": {
+ "direction": "input",
+ "bits": [ 6 ]
+ },
+ "SBADRI5": {
+ "direction": "input",
+ "bits": [ 7 ]
+ },
+ "SBADRI4": {
+ "direction": "input",
+ "bits": [ 8 ]
+ },
+ "SBADRI3": {
+ "direction": "input",
+ "bits": [ 9 ]
+ },
+ "SBADRI2": {
+ "direction": "input",
+ "bits": [ 10 ]
+ },
+ "SBADRI1": {
+ "direction": "input",
+ "bits": [ 11 ]
+ },
+ "SBADRI0": {
+ "direction": "input",
+ "bits": [ 12 ]
+ },
+ "SBDATI7": {
+ "direction": "input",
+ "bits": [ 13 ]
+ },
+ "SBDATI6": {
+ "direction": "input",
+ "bits": [ 14 ]
+ },
+ "SBDATI5": {
+ "direction": "input",
+ "bits": [ 15 ]
+ },
+ "SBDATI4": {
+ "direction": "input",
+ "bits": [ 16 ]
+ },
+ "SBDATI3": {
+ "direction": "input",
+ "bits": [ 17 ]
+ },
+ "SBDATI2": {
+ "direction": "input",
+ "bits": [ 18 ]
+ },
+ "SBDATI1": {
+ "direction": "input",
+ "bits": [ 19 ]
+ },
+ "SBDATI0": {
+ "direction": "input",
+ "bits": [ 20 ]
+ },
+ "MI": {
+ "direction": "input",
+ "bits": [ 21 ]
+ },
+ "SI": {
+ "direction": "input",
+ "bits": [ 22 ]
+ },
+ "SCKI": {
+ "direction": "input",
+ "bits": [ 23 ]
+ },
+ "SCSNI": {
+ "direction": "input",
+ "bits": [ 24 ]
+ },
+ "SBDATO7": {
+ "direction": "output",
+ "bits": [ 25 ]
+ },
+ "SBDATO6": {
+ "direction": "output",
+ "bits": [ 26 ]
+ },
+ "SBDATO5": {
+ "direction": "output",
+ "bits": [ 27 ]
+ },
+ "SBDATO4": {
+ "direction": "output",
+ "bits": [ 28 ]
+ },
+ "SBDATO3": {
+ "direction": "output",
+ "bits": [ 29 ]
+ },
+ "SBDATO2": {
+ "direction": "output",
+ "bits": [ 30 ]
+ },
+ "SBDATO1": {
+ "direction": "output",
+ "bits": [ 31 ]
+ },
+ "SBDATO0": {
+ "direction": "output",
+ "bits": [ 32 ]
+ },
+ "SBACKO": {
+ "direction": "output",
+ "bits": [ 33 ]
+ },
+ "SPIIRQ": {
+ "direction": "output",
+ "bits": [ 34 ]
+ },
+ "SPIWKUP": {
+ "direction": "output",
+ "bits": [ 35 ]
+ },
+ "SO": {
+ "direction": "output",
+ "bits": [ 36 ]
+ },
+ "SOE": {
+ "direction": "output",
+ "bits": [ 37 ]
+ },
+ "MO": {
+ "direction": "output",
+ "bits": [ 38 ]
+ },
+ "MOE": {
+ "direction": "output",
+ "bits": [ 39 ]
+ },
+ "SCKO": {
+ "direction": "output",
+ "bits": [ 40 ]
+ },
+ "SCKOE": {
+ "direction": "output",
+ "bits": [ 41 ]
+ },
+ "MCSNO3": {
+ "direction": "output",
+ "bits": [ 42 ]
+ },
+ "MCSNO2": {
+ "direction": "output",
+ "bits": [ 43 ]
+ },
+ "MCSNO1": {
+ "direction": "output",
+ "bits": [ 44 ]
+ },
+ "MCSNO0": {
+ "direction": "output",
+ "bits": [ 45 ]
+ },
+ "MCSNOE3": {
+ "direction": "output",
+ "bits": [ 46 ]
+ },
+ "MCSNOE2": {
+ "direction": "output",
+ "bits": [ 47 ]
+ },
+ "MCSNOE1": {
+ "direction": "output",
+ "bits": [ 48 ]
+ },
+ "MCSNOE0": {
+ "direction": "output",
+ "bits": [ 49 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "MCSNO0": {
+ "hide_name": 0,
+ "bits": [ 45 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1102"
+ }
+ },
+ "MCSNO1": {
+ "hide_name": 0,
+ "bits": [ 44 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1101"
+ }
+ },
+ "MCSNO2": {
+ "hide_name": 0,
+ "bits": [ 43 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1100"
+ }
+ },
+ "MCSNO3": {
+ "hide_name": 0,
+ "bits": [ 42 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1099"
+ }
+ },
+ "MCSNOE0": {
+ "hide_name": 0,
+ "bits": [ 49 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1106"
+ }
+ },
+ "MCSNOE1": {
+ "hide_name": 0,
+ "bits": [ 48 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1105"
+ }
+ },
+ "MCSNOE2": {
+ "hide_name": 0,
+ "bits": [ 47 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1104"
+ }
+ },
+ "MCSNOE3": {
+ "hide_name": 0,
+ "bits": [ 46 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1103"
+ }
+ },
+ "MI": {
+ "hide_name": 0,
+ "bits": [ 21 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1078"
+ }
+ },
+ "MO": {
+ "hide_name": 0,
+ "bits": [ 38 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1095"
+ }
+ },
+ "MOE": {
+ "hide_name": 0,
+ "bits": [ 39 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1096"
+ }
+ },
+ "SBACKO": {
+ "hide_name": 0,
+ "bits": [ 33 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1090"
+ }
+ },
+ "SBADRI0": {
+ "hide_name": 0,
+ "bits": [ 12 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1069"
+ }
+ },
+ "SBADRI1": {
+ "hide_name": 0,
+ "bits": [ 11 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1068"
+ }
+ },
+ "SBADRI2": {
+ "hide_name": 0,
+ "bits": [ 10 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1067"
+ }
+ },
+ "SBADRI3": {
+ "hide_name": 0,
+ "bits": [ 9 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1066"
+ }
+ },
+ "SBADRI4": {
+ "hide_name": 0,
+ "bits": [ 8 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1065"
+ }
+ },
+ "SBADRI5": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1064"
+ }
+ },
+ "SBADRI6": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1063"
+ }
+ },
+ "SBADRI7": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1062"
+ }
+ },
+ "SBCLKI": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1059"
+ }
+ },
+ "SBDATI0": {
+ "hide_name": 0,
+ "bits": [ 20 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1077"
+ }
+ },
+ "SBDATI1": {
+ "hide_name": 0,
+ "bits": [ 19 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1076"
+ }
+ },
+ "SBDATI2": {
+ "hide_name": 0,
+ "bits": [ 18 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1075"
+ }
+ },
+ "SBDATI3": {
+ "hide_name": 0,
+ "bits": [ 17 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1074"
+ }
+ },
+ "SBDATI4": {
+ "hide_name": 0,
+ "bits": [ 16 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1073"
+ }
+ },
+ "SBDATI5": {
+ "hide_name": 0,
+ "bits": [ 15 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1072"
+ }
+ },
+ "SBDATI6": {
+ "hide_name": 0,
+ "bits": [ 14 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1071"
+ }
+ },
+ "SBDATI7": {
+ "hide_name": 0,
+ "bits": [ 13 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1070"
+ }
+ },
+ "SBDATO0": {
+ "hide_name": 0,
+ "bits": [ 32 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1089"
+ }
+ },
+ "SBDATO1": {
+ "hide_name": 0,
+ "bits": [ 31 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1088"
+ }
+ },
+ "SBDATO2": {
+ "hide_name": 0,
+ "bits": [ 30 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1087"
+ }
+ },
+ "SBDATO3": {
+ "hide_name": 0,
+ "bits": [ 29 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1086"
+ }
+ },
+ "SBDATO4": {
+ "hide_name": 0,
+ "bits": [ 28 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1085"
+ }
+ },
+ "SBDATO5": {
+ "hide_name": 0,
+ "bits": [ 27 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1084"
+ }
+ },
+ "SBDATO6": {
+ "hide_name": 0,
+ "bits": [ 26 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1083"
+ }
+ },
+ "SBDATO7": {
+ "hide_name": 0,
+ "bits": [ 25 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1082"
+ }
+ },
+ "SBRWI": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1060"
+ }
+ },
+ "SBSTBI": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1061"
+ }
+ },
+ "SCKI": {
+ "hide_name": 0,
+ "bits": [ 23 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1080"
+ }
+ },
+ "SCKO": {
+ "hide_name": 0,
+ "bits": [ 40 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1097"
+ }
+ },
+ "SCKOE": {
+ "hide_name": 0,
+ "bits": [ 41 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1098"
+ }
+ },
+ "SCSNI": {
+ "hide_name": 0,
+ "bits": [ 24 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1081"
+ }
+ },
+ "SI": {
+ "hide_name": 0,
+ "bits": [ 22 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1079"
+ }
+ },
+ "SO": {
+ "hide_name": 0,
+ "bits": [ 36 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1093"
+ }
+ },
+ "SOE": {
+ "hide_name": 0,
+ "bits": [ 37 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1094"
+ }
+ },
+ "SPIIRQ": {
+ "hide_name": 0,
+ "bits": [ 34 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1091"
+ }
+ },
+ "SPIWKUP": {
+ "hide_name": 0,
+ "bits": [ 35 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:1092"
+ }
+ }
+ }
+ },
+ "SB_SPRAM256KA": {
+ "attributes": {
+ "blackbox": 1,
+ "cells_not_processed": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:942"
+ },
+ "ports": {
+ "ADDRESS": {
+ "direction": "input",
+ "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ]
+ },
+ "DATAIN": {
+ "direction": "input",
+ "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ]
+ },
+ "MASKWREN": {
+ "direction": "input",
+ "bits": [ 32, 33, 34, 35 ]
+ },
+ "WREN": {
+ "direction": "input",
+ "bits": [ 36 ]
+ },
+ "CHIPSELECT": {
+ "direction": "input",
+ "bits": [ 37 ]
+ },
+ "CLOCK": {
+ "direction": "input",
+ "bits": [ 38 ]
+ },
+ "STANDBY": {
+ "direction": "input",
+ "bits": [ 39 ]
+ },
+ "SLEEP": {
+ "direction": "input",
+ "bits": [ 40 ]
+ },
+ "POWEROFF": {
+ "direction": "input",
+ "bits": [ 41 ]
+ },
+ "DATAOUT": {
+ "direction": "output",
+ "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "ADDRESS": {
+ "hide_name": 0,
+ "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:943"
+ }
+ },
+ "CHIPSELECT": {
+ "hide_name": 0,
+ "bits": [ 37 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
+ }
+ },
+ "CLOCK": {
+ "hide_name": 0,
+ "bits": [ 38 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
+ }
+ },
+ "DATAIN": {
+ "hide_name": 0,
+ "bits": [ 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:944"
+ }
+ },
+ "DATAOUT": {
+ "hide_name": 0,
+ "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:947"
+ }
+ },
+ "MASKWREN": {
+ "hide_name": 0,
+ "bits": [ 32, 33, 34, 35 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:945"
+ }
+ },
+ "POWEROFF": {
+ "hide_name": 0,
+ "bits": [ 41 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
+ }
+ },
+ "SLEEP": {
+ "hide_name": 0,
+ "bits": [ 40 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
+ }
+ },
+ "STANDBY": {
+ "hide_name": 0,
+ "bits": [ 39 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
+ }
+ },
+ "WREN": {
+ "hide_name": 0,
+ "bits": [ 36 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:946"
+ }
+ }
+ }
+ },
+ "SB_WARMBOOT": {
+ "attributes": {
+ "blackbox": 1,
+ "keep": 1,
+ "cells_not_processed": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:882"
+ },
+ "ports": {
+ "BOOT": {
+ "direction": "input",
+ "bits": [ 2 ]
+ },
+ "S1": {
+ "direction": "input",
+ "bits": [ 3 ]
+ },
+ "S0": {
+ "direction": "input",
+ "bits": [ 4 ]
+ }
+ },
+ "cells": {
+ },
+ "netnames": {
+ "BOOT": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:883"
+ }
+ },
+ "S0": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:885"
+ }
+ },
+ "S1": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_sim.v:884"
+ }
+ }
+ }
+ },
+ "top": {
+ "attributes": {
+ "top": 1,
+ "src": "top.v:2"
+ },
+ "ports": {
+ "clk_if": {
+ "direction": "inout",
+ "bits": [ 2 ]
+ },
+ "i2c_scl": {
+ "direction": "inout",
+ "bits": [ 3 ]
+ },
+ "i2c_sda": {
+ "direction": "inout",
+ "bits": [ 4 ]
+ },
+ "fx2_sloe": {
+ "direction": "inout",
+ "bits": [ 5 ]
+ },
+ "fx2_slrd": {
+ "direction": "inout",
+ "bits": [ 6 ]
+ },
+ "fx2_slwr": {
+ "direction": "inout",
+ "bits": [ 7 ]
+ },
+ "fx2_pktend": {
+ "direction": "inout",
+ "bits": [ 8 ]
+ },
+ "fx2_fifoadr": {
+ "direction": "inout",
+ "bits": [ 9, 10 ]
+ },
+ "fx2_flag": {
+ "direction": "inout",
+ "bits": [ 11, 12, 13, 14 ]
+ },
+ "fx2_fd": {
+ "direction": "inout",
+ "bits": [ 15, 16, 17, 18, 19, 20, 21, 22 ]
+ },
+ "port_a_io": {
+ "direction": "inout",
+ "bits": [ 23 ]
+ },
+ "port_a_oe": {
+ "direction": "output",
+ "bits": [ "1" ]
+ },
+ "port_a_io_1": {
+ "direction": "inout",
+ "bits": [ 24 ]
+ },
+ "port_a_oe_1": {
+ "direction": "output",
+ "bits": [ "1" ]
+ },
+ "port_a_io_2": {
+ "direction": "inout",
+ "bits": [ 25 ]
+ },
+ "port_a_oe_2": {
+ "direction": "output",
+ "bits": [ "1" ]
+ },
+ "port_a_io_3": {
+ "direction": "inout",
+ "bits": [ 26 ]
+ },
+ "port_a_oe_3": {
+ "direction": "output",
+ "bits": [ "1" ]
+ },
+ "port_a_io_4": {
+ "direction": "inout",
+ "bits": [ 27 ]
+ },
+ "port_a_oe_4": {
+ "direction": "output",
+ "bits": [ "1" ]
+ }
+ },
+ "cells": {
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3657": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 248
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 28 ],
+ "I1": [ 29 ],
+ "I2": [ 30 ],
+ "I3": [ 31 ],
+ "O": [ 32 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3658": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 7936
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 33 ],
+ "I1": [ 34 ],
+ "I2": [ 35 ],
+ "I3": [ 28 ],
+ "O": [ 30 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3659": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 48896
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 36 ],
+ "I1": [ 37 ],
+ "I2": [ 38 ],
+ "I3": [ 39 ],
+ "O": [ 28 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3660": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 128
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 40 ],
+ "I1": [ 41 ],
+ "I2": [ 42 ],
+ "I3": [ "0" ],
+ "O": [ 39 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3661": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 128
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 43 ],
+ "I1": [ 44 ],
+ "I2": [ 45 ],
+ "I3": [ "0" ],
+ "O": [ 40 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3662": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32768
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 46 ],
+ "I1": [ 47 ],
+ "I2": [ 48 ],
+ "I3": [ 49 ],
+ "O": [ 41 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3663": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32768
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 50 ],
+ "I1": [ 51 ],
+ "I2": [ 52 ],
+ "I3": [ 53 ],
+ "O": [ 42 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3664": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 54 ],
+ "I1": [ 55 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 38 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3665": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 56 ],
+ "I1": [ 57 ],
+ "I2": [ 58 ],
+ "I3": [ "0" ],
+ "O": [ 37 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3666": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 59 ],
+ "I1": [ 60 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 35 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3667": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 61 ],
+ "I1": [ 55 ],
+ "I2": [ 62 ],
+ "I3": [ "0" ],
+ "O": [ 59 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3668": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 55 ],
+ "I1": [ 62 ],
+ "I2": [ 61 ],
+ "I3": [ "0" ],
+ "O": [ 60 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3669": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 38 ],
+ "I1": [ 63 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 33 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3670": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 58 ],
+ "I1": [ 57 ],
+ "I2": [ 56 ],
+ "I3": [ "0" ],
+ "O": [ 63 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3671": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16384
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 64 ],
+ "I1": [ 65 ],
+ "I2": [ 66 ],
+ "I3": [ 63 ],
+ "O": [ 34 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3672": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 55 ],
+ "I1": [ 54 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 65 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3673": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 67 ],
+ "I1": [ 68 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 66 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3674": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32768
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 69 ],
+ "I1": [ 70 ],
+ "I2": [ 38 ],
+ "I3": [ 56 ],
+ "O": [ 31 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3675": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 143
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 71 ],
+ "I1": [ 72 ],
+ "I2": [ 73 ],
+ "I3": [ 74 ],
+ "O": [ 69 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3676": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 75 ],
+ "I1": [ 76 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 74 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3677": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 77 ],
+ "I1": [ 78 ],
+ "I2": [ 79 ],
+ "I3": [ 80 ],
+ "O": [ 71 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3678": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 256
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 81 ],
+ "I1": [ 82 ],
+ "I2": [ 83 ],
+ "I3": [ 84 ],
+ "O": [ 72 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3679": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 76 ],
+ "I1": [ 85 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 73 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3680": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 57 ],
+ "I1": [ 58 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 70 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3681": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 191
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 64 ],
+ "I1": [ 66 ],
+ "I2": [ 65 ],
+ "I3": [ 86 ],
+ "O": [ 29 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3682": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 39 ],
+ "I1": [ 55 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 87 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3683": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 39 ],
+ "I1": [ 62 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 88 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3684": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 84 ],
+ "I1": [ 39 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 89 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3685": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 143
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 90 ],
+ "I1": [ 91 ],
+ "I2": [ 92 ],
+ "I3": [ "0" ],
+ "O": [ 93 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3686": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 191
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 94 ],
+ "I1": [ 91 ],
+ "I2": [ 95 ],
+ "I3": [ "0" ],
+ "O": [ 96 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3687": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 94 ],
+ "I1": [ 97 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 90 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3688": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 28
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 95 ],
+ "I1": [ 91 ],
+ "I2": [ 94 ],
+ "I3": [ "0" ],
+ "O": [ 98 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3689": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4351
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 90 ],
+ "I1": [ 95 ],
+ "I2": [ 91 ],
+ "I3": [ 92 ],
+ "O": [ 99 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3690": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 11
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 100 ],
+ "I1": [ 39 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 101 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3691": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32768
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 102 ],
+ "I1": [ 103 ],
+ "I2": [ 67 ],
+ "I3": [ 68 ],
+ "O": [ 100 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3692": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 58 ],
+ "I1": [ 57 ],
+ "I2": [ 56 ],
+ "I3": [ "0" ],
+ "O": [ 102 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3693": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 54 ],
+ "I1": [ 55 ],
+ "I2": [ 64 ],
+ "I3": [ "0" ],
+ "O": [ 103 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3694": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 62207
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 104 ],
+ "I1": [ 105 ],
+ "I2": [ 106 ],
+ "I3": [ 30 ],
+ "O": [ 107 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3695": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 69 ],
+ "I1": [ 54 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 105 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3696": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16384
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 58 ],
+ "I1": [ 108 ],
+ "I2": [ 109 ],
+ "I3": [ 110 ],
+ "O": [ 106 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3697": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 128
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 103 ],
+ "I1": [ 67 ],
+ "I2": [ 68 ],
+ "I3": [ "0" ],
+ "O": [ 110 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3698": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4096
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 111 ],
+ "I1": [ 112 ],
+ "I2": [ 113 ],
+ "I3": [ 114 ],
+ "O": [ 108 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3699": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 115 ],
+ "I1": [ 116 ],
+ "I2": [ 117 ],
+ "I3": [ 118 ],
+ "O": [ 113 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3700": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 56 ],
+ "I1": [ 57 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 109 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3701": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 128
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 70 ],
+ "I1": [ 55 ],
+ "I2": [ 56 ],
+ "I3": [ "0" ],
+ "O": [ 104 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3702": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 239
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 119 ],
+ "I1": [ 120 ],
+ "I2": [ 39 ],
+ "I3": [ "0" ],
+ "O": [ 121 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3703": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 208
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 122 ],
+ "I1": [ 63 ],
+ "I2": [ 38 ],
+ "I3": [ "0" ],
+ "O": [ 119 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3704": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 235
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 58 ],
+ "I1": [ 57 ],
+ "I2": [ 56 ],
+ "I3": [ "0" ],
+ "O": [ 122 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3705": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 56 ],
+ "I1": [ 38 ],
+ "I2": [ 70 ],
+ "I3": [ "0" ],
+ "O": [ 120 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3706": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 11
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 123 ],
+ "I1": [ 39 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 124 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3707": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 122 ],
+ "I1": [ 65 ],
+ "I2": [ 35 ],
+ "I3": [ "0" ],
+ "O": [ 123 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3708": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 63743
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 125 ],
+ "I1": [ 126 ],
+ "I2": [ 33 ],
+ "I3": [ 39 ],
+ "O": [ 127 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3709": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 35 ],
+ "I1": [ 37 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 126 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3710": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 65 ],
+ "I1": [ 36 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 125 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3711": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 11
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 74 ],
+ "I1": [ 39 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 128 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3712": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 511
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 94 ],
+ "I1": [ 95 ],
+ "I2": [ 91 ],
+ "I3": [ 39 ],
+ "O": [ 129 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3713": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 129 ],
+ "I1": [ 130 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 131 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3714": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 132 ],
+ "I1": [ 97 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 130 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3715": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 95 ],
+ "I1": [ 91 ],
+ "I2": [ 94 ],
+ "I3": [ "0" ],
+ "O": [ 132 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3716": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 14
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 129 ],
+ "I1": [ 133 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 134 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3717": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 97 ],
+ "I1": [ 132 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 133 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3718": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 65279
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 95 ],
+ "I1": [ 91 ],
+ "I2": [ 94 ],
+ "I3": [ 39 ],
+ "O": [ 135 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3719": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 39 ],
+ "I1": [ 50 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 136 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3720": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 63743
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 137 ],
+ "I1": [ 138 ],
+ "I2": [ 139 ],
+ "I3": [ 140 ],
+ "O": [ 141 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3721": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16384
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 142 ],
+ "I1": [ 137 ],
+ "I2": [ 143 ],
+ "I3": [ 144 ],
+ "O": [ 139 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3722": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 145 ],
+ "I1": [ 146 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 144 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3723": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 147 ],
+ "I1": [ 148 ],
+ "I2": [ 149 ],
+ "I3": [ "0" ],
+ "O": [ 146 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3724": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 150 ],
+ "I1": [ 151 ],
+ "I2": [ 152 ],
+ "I3": [ 153 ],
+ "O": [ 137 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3725": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32768
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 146 ],
+ "I1": [ 143 ],
+ "I2": [ 142 ],
+ "I3": [ 145 ],
+ "O": [ 138 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3726": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 57599
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 154 ],
+ "I1": [ 155 ],
+ "I2": [ 156 ],
+ "I3": [ 140 ],
+ "O": [ 157 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3727": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 158 ],
+ "I1": [ 159 ],
+ "I2": [ 160 ],
+ "I3": [ "0" ],
+ "O": [ 156 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3728": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16384
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 161 ],
+ "I1": [ 162 ],
+ "I2": [ 163 ],
+ "I3": [ 164 ],
+ "O": [ 160 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3729": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4096
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 165 ],
+ "I1": [ 166 ],
+ "I2": [ 167 ],
+ "I3": [ 168 ],
+ "O": [ 154 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3730": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4096
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 166 ],
+ "I1": [ 168 ],
+ "I2": [ 165 ],
+ "I3": [ 167 ],
+ "O": [ 155 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3731": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 11
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 150 ],
+ "I1": [ 140 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 169 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3732": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 11
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 170 ],
+ "I1": [ 140 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 171 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3733": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16384
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 142 ],
+ "I1": [ 172 ],
+ "I2": [ 143 ],
+ "I3": [ 173 ],
+ "O": [ 170 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3734": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 32768
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 152 ],
+ "I1": [ 153 ],
+ "I2": [ 147 ],
+ "I3": [ 149 ],
+ "O": [ 173 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3735": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4096
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 145 ],
+ "I1": [ 148 ],
+ "I2": [ 151 ],
+ "I3": [ 150 ],
+ "O": [ 172 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3736": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 57599
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 174 ],
+ "I1": [ 166 ],
+ "I2": [ 170 ],
+ "I3": [ 140 ],
+ "O": [ 175 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3737": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 128
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 176 ],
+ "I1": [ 155 ],
+ "I2": [ 161 ],
+ "I3": [ "0" ],
+ "O": [ 174 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3738": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 158 ],
+ "I1": [ 163 ],
+ "I2": [ 177 ],
+ "I3": [ "0" ],
+ "O": [ 176 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3739": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 159 ],
+ "I1": [ 162 ],
+ "I2": [ 164 ],
+ "I3": [ "0" ],
+ "O": [ 177 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3740": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 57599
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 144 ],
+ "I1": [ 178 ],
+ "I2": [ 179 ],
+ "I3": [ 140 ],
+ "O": [ 180 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3741": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 143 ],
+ "I1": [ 142 ],
+ "I2": [ 137 ],
+ "I3": [ "0" ],
+ "O": [ 179 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3742": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 145 ],
+ "I1": [ 147 ],
+ "I2": [ 148 ],
+ "I3": [ 149 ],
+ "O": [ 178 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3743": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 63743
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 181 ],
+ "I1": [ 156 ],
+ "I2": [ 182 ],
+ "I3": [ 140 ],
+ "O": [ 183 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3744": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 161 ],
+ "I1": [ 181 ],
+ "I2": [ 176 ],
+ "I3": [ "0" ],
+ "O": [ 182 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3745": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 165 ],
+ "I1": [ 167 ],
+ "I2": [ 166 ],
+ "I3": [ 168 ],
+ "O": [ 181 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3746": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 202
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 184 ],
+ "I1": [ 69 ],
+ "I2": [ 75 ],
+ "I3": [ "0" ],
+ "O": [ 185 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3747": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 6144
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 54 ],
+ "I1": [ 36 ],
+ "I2": [ 55 ],
+ "I3": [ 126 ],
+ "O": [ 184 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3748": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 179 ],
+ "I1": [ 178 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 186 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3749": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 174 ],
+ "I1": [ 187 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 188 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3750": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 174 ],
+ "I1": [ 168 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 189 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3751": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 174 ],
+ "I1": [ 190 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 191 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3752": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 174 ],
+ "I1": [ 192 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 193 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3753": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 174 ],
+ "I1": [ 194 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 195 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3754": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 174 ],
+ "I1": [ 196 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 197 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3755": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 174 ],
+ "I1": [ 198 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 199 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3756": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 174 ],
+ "I1": [ 200 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 201 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3757": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 174 ],
+ "I1": [ 202 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 203 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3758": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 174 ],
+ "I1": [ 204 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 205 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3759": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 170 ],
+ "I1": [ 206 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 207 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3760": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 170 ],
+ "I1": [ 208 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 209 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3761": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 170 ],
+ "I1": [ 210 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 211 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3762": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 170 ],
+ "I1": [ 212 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 213 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3763": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 170 ],
+ "I1": [ 214 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 215 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3764": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 170 ],
+ "I1": [ 216 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 217 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3765": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 170 ],
+ "I1": [ 218 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 219 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3766": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 170 ],
+ "I1": [ 220 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 221 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3767": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 170 ],
+ "I1": [ 222 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 223 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3768": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 128
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 224 ],
+ "I1": [ 225 ],
+ "I2": [ 226 ],
+ "I3": [ "0" ],
+ "O": [ 227 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3769": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 128
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 228 ],
+ "I1": [ 225 ],
+ "I2": [ 226 ],
+ "I3": [ "0" ],
+ "O": [ 229 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3770": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 128
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 230 ],
+ "I1": [ 225 ],
+ "I2": [ 226 ],
+ "I3": [ "0" ],
+ "O": [ 231 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3771": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 156 ],
+ "I1": [ 154 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 232 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3772": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 100 ],
+ "I1": [ 36 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 233 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3773": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 100 ],
+ "I1": [ 115 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 234 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3774": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 100 ],
+ "I1": [ 116 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 235 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3775": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 100 ],
+ "I1": [ 117 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 236 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3776": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 100 ],
+ "I1": [ 114 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 237 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3777": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 100 ],
+ "I1": [ 118 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 238 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3778": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 100 ],
+ "I1": [ 111 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 239 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3779": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 100 ],
+ "I1": [ 112 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 240 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3780": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 122 ],
+ "I1": [ 61 ],
+ "I2": [ 241 ],
+ "I3": [ "0" ],
+ "O": [ 242 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3781": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 4
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 62 ],
+ "I1": [ 65 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 241 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3782": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 123 ],
+ "I1": [ 36 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 243 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3783": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 123 ],
+ "I1": [ 115 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 244 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3784": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 123 ],
+ "I1": [ 116 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 245 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3785": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 123 ],
+ "I1": [ 117 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 246 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3786": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 123 ],
+ "I1": [ 114 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 247 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3787": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 123 ],
+ "I1": [ 118 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 248 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3788": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 123 ],
+ "I1": [ 111 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 249 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3789": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 6
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 250 ],
+ "I1": [ 97 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 251 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3790": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 57 ],
+ "I1": [ 56 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 252 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3791": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 34 ],
+ "I1": [ 100 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 253 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3792": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 254
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 254 ],
+ "I1": [ 255 ],
+ "I2": [ 120 ],
+ "I3": [ "0" ],
+ "O": [ 256 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3793": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 224
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 257 ],
+ "I1": [ 258 ],
+ "I2": [ 35 ],
+ "I3": [ "0" ],
+ "O": [ 254 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3794": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 2816
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 108 ],
+ "I1": [ 110 ],
+ "I2": [ 58 ],
+ "I3": [ 109 ],
+ "O": [ 258 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3795": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 176
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 36 ],
+ "I1": [ 38 ],
+ "I2": [ 37 ],
+ "I3": [ "0" ],
+ "O": [ 257 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3796": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 62464
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 241 ],
+ "I1": [ 252 ],
+ "I2": [ 63 ],
+ "I3": [ 35 ],
+ "O": [ 255 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3797": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 65524
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 57 ],
+ "I1": [ 259 ],
+ "I2": [ 184 ],
+ "I3": [ 255 ],
+ "O": [ 260 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3798": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 35 ],
+ "I1": [ 56 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 259 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3799": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 140 ],
+ "I1": [ 125 ],
+ "I2": [ 126 ],
+ "I3": [ "0" ],
+ "O": [ 261 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3800": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 33 ],
+ "I1": [ 262 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 263 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3801": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 33 ],
+ "I1": [ 264 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 265 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3802": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 33 ],
+ "I1": [ 266 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 267 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3803": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 33 ],
+ "I1": [ 268 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 269 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3804": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 33 ],
+ "I1": [ 270 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 271 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3805": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 33 ],
+ "I1": [ 272 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 273 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3806": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 33 ],
+ "I1": [ 274 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 275 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3807": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 119 ],
+ "I1": [ 276 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 277 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3808": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 96
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 67 ],
+ "I1": [ 68 ],
+ "I2": [ 119 ],
+ "I3": [ "0" ],
+ "O": [ 278 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3809": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 8
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:44"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 119 ],
+ "I1": [ 279 ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 280 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3810": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 191
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 91 ],
+ "I1": [ 95 ],
+ "I2": [ 94 ],
+ "I3": [ "0" ],
+ "O": [ 281 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3811": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 282 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 283 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3812": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 284 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 285 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3813": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 286 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 287 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3814": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 288 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 289 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3815": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 290 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 291 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3816": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 292 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 293 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3817": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 294 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 295 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3818": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 296 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 297 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3819": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 298 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 299 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3820": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 300 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 301 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3821": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 302 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 303 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3822": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 304 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 305 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3823": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 140 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 306 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3824": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 50 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 307 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3825": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 51 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 308 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3826": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 52 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 309 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3827": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 53 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 310 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3828": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 46 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 311 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3829": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 47 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 312 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3830": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 48 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 313 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3831": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 49 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 314 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3832": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 43 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 315 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3833": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 44 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 316 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3834": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 45 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 317 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3835": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 39 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 318 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3836": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 80 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 319 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3837": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 79 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 320 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3838": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 78 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 321 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3839": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 77 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 322 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3840": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 83 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 323 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3841": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 82 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 324 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3842": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 81 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 325 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3843": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 151 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 326 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3844": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 250 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 327 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3845": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:40"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 328 ],
+ "I1": [ "0" ],
+ "I2": [ "0" ],
+ "I3": [ "0" ],
+ "O": [ 329 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3846": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 53152
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 330 ],
+ "I1": [ 331 ],
+ "I2": [ 250 ],
+ "I3": [ 97 ],
+ "O": [ 332 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3847": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64522
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 333 ],
+ "I1": [ 334 ],
+ "I2": [ 250 ],
+ "I3": [ 332 ],
+ "O": [ 335 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3848": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 62719
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 91 ],
+ "I1": [ 335 ],
+ "I2": [ 94 ],
+ "I3": [ 95 ],
+ "O": [ 92 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3849": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 16
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:48"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 59 ],
+ "I1": [ 106 ],
+ "I2": [ 253 ],
+ "I3": [ "0" ],
+ "O": [ 336 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3850": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 3167
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 36 ],
+ "I1": [ 69 ],
+ "I2": [ 57 ],
+ "I3": [ 56 ],
+ "O": [ 337 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3851": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 64831
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 57 ],
+ "I1": [ 55 ],
+ "I2": [ 337 ],
+ "I3": [ 54 ],
+ "O": [ 338 ]
+ }
+ },
+ "$abc$3656$auto$blifparse.cc:492:parse_blif$3852": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 143
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "/usr/local/bin/../share/yosys/ice40/cells_map.v:52"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ 58 ],
+ "I1": [ 338 ],
+ "I2": [ 336 ],
+ "I3": [ 60 ],
+ "O": [ 339 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1080.slice[2].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:389|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 325 ],
+ "CO": [ 340 ],
+ "I0": [ "0" ],
+ "I1": [ 324 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1080.slice[3].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:389|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 340 ],
+ "CO": [ 341 ],
+ "I0": [ "0" ],
+ "I1": [ 323 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1080.slice[4].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:389|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 341 ],
+ "CO": [ 342 ],
+ "I0": [ "0" ],
+ "I1": [ 322 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1080.slice[5].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:389|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 342 ],
+ "CO": [ 343 ],
+ "I0": [ "0" ],
+ "I1": [ 321 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1080.slice[6].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:389|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 343 ],
+ "CO": [ 344 ],
+ "I0": [ "0" ],
+ "I1": [ 320 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1080.slice[7].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:389|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 344 ],
+ "CO": [ 85 ],
+ "I0": [ "0" ],
+ "I1": [ 319 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1091.slice[0].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:774|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 162 ],
+ "I2": [ 142 ],
+ "I3": [ "0" ],
+ "O": [ 230 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1091.slice[0].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:774|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ "0" ],
+ "CO": [ 345 ],
+ "I0": [ 162 ],
+ "I1": [ 142 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1091.slice[1].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:774|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 163 ],
+ "I2": [ 145 ],
+ "I3": [ 345 ],
+ "O": [ 228 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1091.slice[1].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:774|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 345 ],
+ "CO": [ 346 ],
+ "I0": [ 163 ],
+ "I1": [ 145 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1091.slice[2].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:774|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 164 ],
+ "I2": [ 148 ],
+ "I3": [ 346 ],
+ "O": [ 224 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1094.slice[0].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:898|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 166 ],
+ "I3": [ "0" ],
+ "O": [ 187 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1094.slice[1].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:898|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 166 ],
+ "CO": [ 347 ],
+ "I0": [ "0" ],
+ "I1": [ 168 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1094.slice[2].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:898|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 165 ],
+ "I3": [ 347 ],
+ "O": [ 190 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1094.slice[2].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:898|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 347 ],
+ "CO": [ 348 ],
+ "I0": [ "0" ],
+ "I1": [ 165 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1094.slice[3].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:898|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 167 ],
+ "I3": [ 348 ],
+ "O": [ 192 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1094.slice[3].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:898|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 348 ],
+ "CO": [ 349 ],
+ "I0": [ "0" ],
+ "I1": [ 167 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1094.slice[4].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:898|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 158 ],
+ "I3": [ 349 ],
+ "O": [ 194 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1094.slice[4].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:898|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 349 ],
+ "CO": [ 350 ],
+ "I0": [ "0" ],
+ "I1": [ 158 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1094.slice[5].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:898|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 162 ],
+ "I3": [ 350 ],
+ "O": [ 196 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1094.slice[5].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:898|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 350 ],
+ "CO": [ 351 ],
+ "I0": [ "0" ],
+ "I1": [ 162 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1094.slice[6].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:898|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 163 ],
+ "I3": [ 351 ],
+ "O": [ 198 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1094.slice[6].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:898|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 351 ],
+ "CO": [ 352 ],
+ "I0": [ "0" ],
+ "I1": [ 163 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1094.slice[7].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:898|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 164 ],
+ "I3": [ 352 ],
+ "O": [ 200 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1094.slice[7].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:898|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 352 ],
+ "CO": [ 353 ],
+ "I0": [ "0" ],
+ "I1": [ 164 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1094.slice[8].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:898|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 159 ],
+ "I3": [ 353 ],
+ "O": [ 202 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1094.slice[8].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:898|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 353 ],
+ "CO": [ 354 ],
+ "I0": [ "0" ],
+ "I1": [ 159 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1094.slice[9].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:898|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 161 ],
+ "I3": [ 354 ],
+ "O": [ 204 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1097.slice[0].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:902|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 150 ],
+ "I3": [ "0" ],
+ "O": [ 206 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1097.slice[1].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:902|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 150 ],
+ "CO": [ 355 ],
+ "I0": [ "0" ],
+ "I1": [ 151 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1097.slice[2].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:902|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 152 ],
+ "I3": [ 355 ],
+ "O": [ 208 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1097.slice[2].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:902|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 355 ],
+ "CO": [ 356 ],
+ "I0": [ "0" ],
+ "I1": [ 152 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1097.slice[3].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:902|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 153 ],
+ "I3": [ 356 ],
+ "O": [ 210 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1097.slice[3].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:902|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 356 ],
+ "CO": [ 357 ],
+ "I0": [ "0" ],
+ "I1": [ 153 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1097.slice[4].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:902|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 143 ],
+ "I3": [ 357 ],
+ "O": [ 212 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1097.slice[4].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:902|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 357 ],
+ "CO": [ 358 ],
+ "I0": [ "0" ],
+ "I1": [ 143 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1097.slice[5].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:902|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 142 ],
+ "I3": [ 358 ],
+ "O": [ 214 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1097.slice[5].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:902|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 358 ],
+ "CO": [ 359 ],
+ "I0": [ "0" ],
+ "I1": [ 142 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1097.slice[6].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:902|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 145 ],
+ "I3": [ 359 ],
+ "O": [ 216 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1097.slice[6].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:902|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 359 ],
+ "CO": [ 360 ],
+ "I0": [ "0" ],
+ "I1": [ 145 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1097.slice[7].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:902|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 148 ],
+ "I3": [ 360 ],
+ "O": [ 218 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1097.slice[7].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:902|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 360 ],
+ "CO": [ 361 ],
+ "I0": [ "0" ],
+ "I1": [ 148 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1097.slice[8].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:902|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 147 ],
+ "I3": [ 361 ],
+ "O": [ 220 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1097.slice[8].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:902|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 361 ],
+ "CO": [ 362 ],
+ "I0": [ "0" ],
+ "I1": [ 147 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1097.slice[9].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:902|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 149 ],
+ "I3": [ 362 ],
+ "O": [ 222 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1100.slice[0].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "1" ],
+ "I2": [ 67 ],
+ "I3": [ "0" ],
+ "O": [ 276 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1100.slice[1].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 67 ],
+ "CO": [ 363 ],
+ "I0": [ "0" ],
+ "I1": [ 68 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1100.slice[2].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ "0" ],
+ "I2": [ 64 ],
+ "I3": [ 363 ],
+ "O": [ 279 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1103.slice[0].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 307 ],
+ "I2": [ "0" ],
+ "I3": [ "1" ],
+ "O": [ 286 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1103.slice[10].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 317 ],
+ "I2": [ "1" ],
+ "I3": [ 364 ],
+ "O": [ 304 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1103.slice[1].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 307 ],
+ "CO": [ 365 ],
+ "I0": [ 308 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1103.slice[2].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 309 ],
+ "I2": [ "1" ],
+ "I3": [ 365 ],
+ "O": [ 288 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1103.slice[2].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 365 ],
+ "CO": [ 366 ],
+ "I0": [ 309 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1103.slice[3].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 310 ],
+ "I2": [ "1" ],
+ "I3": [ 366 ],
+ "O": [ 290 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1103.slice[3].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 366 ],
+ "CO": [ 367 ],
+ "I0": [ 310 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1103.slice[4].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 311 ],
+ "I2": [ "1" ],
+ "I3": [ 367 ],
+ "O": [ 292 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1103.slice[4].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 367 ],
+ "CO": [ 368 ],
+ "I0": [ 311 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1103.slice[5].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 312 ],
+ "I2": [ "1" ],
+ "I3": [ 368 ],
+ "O": [ 294 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1103.slice[5].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 368 ],
+ "CO": [ 369 ],
+ "I0": [ 312 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1103.slice[6].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 313 ],
+ "I2": [ "1" ],
+ "I3": [ 369 ],
+ "O": [ 296 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1103.slice[6].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 369 ],
+ "CO": [ 370 ],
+ "I0": [ 313 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1103.slice[7].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 314 ],
+ "I2": [ "1" ],
+ "I3": [ 370 ],
+ "O": [ 298 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1103.slice[7].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 370 ],
+ "CO": [ 371 ],
+ "I0": [ 314 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1103.slice[8].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 315 ],
+ "I2": [ "1" ],
+ "I3": [ 371 ],
+ "O": [ 300 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1103.slice[8].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 371 ],
+ "CO": [ 372 ],
+ "I0": [ 315 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1103.slice[9].adder": {
+ "hide_name": 1,
+ "type": "SB_LUT4",
+ "parameters": {
+ "LUT_INIT": 27030
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:53"
+ },
+ "port_directions": {
+ "I0": "input",
+ "I1": "input",
+ "I2": "input",
+ "I3": "input",
+ "O": "output"
+ },
+ "connections": {
+ "I0": [ "0" ],
+ "I1": [ 316 ],
+ "I2": [ "1" ],
+ "I3": [ 372 ],
+ "O": [ 302 ]
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1103.slice[9].carry": {
+ "hide_name": 1,
+ "type": "SB_CARRY",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:47"
+ },
+ "port_directions": {
+ "CI": "input",
+ "CO": "output",
+ "I0": "input",
+ "I1": "input"
+ },
+ "connections": {
+ "CI": [ 372 ],
+ "CO": [ 364 ],
+ "I0": [ 316 ],
+ "I1": [ "1" ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2244": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 233 ],
+ "E": [ 101 ],
+ "Q": [ 84 ],
+ "R": [ 318 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2245": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 234 ],
+ "E": [ 101 ],
+ "Q": [ 81 ],
+ "R": [ 318 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2246": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 235 ],
+ "E": [ 101 ],
+ "Q": [ 82 ],
+ "R": [ 318 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2247": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 236 ],
+ "E": [ 101 ],
+ "Q": [ 83 ],
+ "R": [ 318 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2248": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 237 ],
+ "E": [ 101 ],
+ "Q": [ 77 ],
+ "R": [ 318 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2249": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 238 ],
+ "E": [ 101 ],
+ "Q": [ 78 ],
+ "R": [ 318 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2250": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 239 ],
+ "E": [ 101 ],
+ "Q": [ 79 ],
+ "R": [ 318 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2251": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 240 ],
+ "E": [ 101 ],
+ "Q": [ 80 ],
+ "R": [ 318 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2252": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 32 ],
+ "E": [ 107 ],
+ "Q": [ 374 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2253": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 87 ],
+ "Q": [ 54 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2254": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 88 ],
+ "Q": [ 61 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2255": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 277 ],
+ "E": [ 121 ],
+ "Q": [ 67 ],
+ "R": [ 318 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2256": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 278 ],
+ "E": [ 121 ],
+ "Q": [ 68 ],
+ "R": [ 318 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2257": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 280 ],
+ "E": [ 121 ],
+ "Q": [ 64 ],
+ "R": [ 318 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2258": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 242 ],
+ "E": [ 124 ],
+ "Q": [ 36 ],
+ "R": [ 318 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2259": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 243 ],
+ "E": [ 124 ],
+ "Q": [ 115 ],
+ "R": [ 318 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2260": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 244 ],
+ "E": [ 124 ],
+ "Q": [ 116 ],
+ "R": [ 318 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2261": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 245 ],
+ "E": [ 124 ],
+ "Q": [ 117 ],
+ "R": [ 318 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2262": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 246 ],
+ "E": [ 124 ],
+ "Q": [ 114 ],
+ "R": [ 318 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2263": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 247 ],
+ "E": [ 124 ],
+ "Q": [ 118 ],
+ "R": [ 318 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2264": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 248 ],
+ "E": [ 124 ],
+ "Q": [ 111 ],
+ "R": [ 318 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2265": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 249 ],
+ "E": [ 124 ],
+ "Q": [ 112 ],
+ "R": [ 318 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2266": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 261 ],
+ "E": [ 127 ],
+ "Q": [ 262 ],
+ "R": [ 318 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2267": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 263 ],
+ "E": [ 127 ],
+ "Q": [ 264 ],
+ "R": [ 318 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2268": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 265 ],
+ "E": [ 127 ],
+ "Q": [ 266 ],
+ "R": [ 318 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2269": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 267 ],
+ "E": [ 127 ],
+ "Q": [ 268 ],
+ "R": [ 318 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2270": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 269 ],
+ "E": [ 127 ],
+ "Q": [ 270 ],
+ "R": [ 318 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2271": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 271 ],
+ "E": [ 127 ],
+ "Q": [ 272 ],
+ "R": [ 318 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2272": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 273 ],
+ "E": [ 127 ],
+ "Q": [ 274 ],
+ "R": [ 318 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2273": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 275 ],
+ "E": [ 127 ],
+ "Q": [ 86 ],
+ "R": [ 318 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2274": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 100 ],
+ "Q": [ 76 ],
+ "R": [ 318 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2275": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 89 ],
+ "E": [ 128 ],
+ "Q": [ 140 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2276": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 339 ],
+ "Q": [ 58 ],
+ "R": [ 318 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2277": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 256 ],
+ "Q": [ 57 ],
+ "R": [ 318 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2278": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 260 ],
+ "Q": [ 56 ],
+ "R": [ 318 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2279": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 185 ],
+ "Q": [ 75 ],
+ "R": [ 318 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2280": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 327 ],
+ "E": [ 129 ],
+ "Q": [ 250 ],
+ "R": [ 318 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2281": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 251 ],
+ "E": [ 129 ],
+ "Q": [ 97 ],
+ "R": [ 318 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2282": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 130 ],
+ "E": [ 131 ],
+ "Q": [ 375 ],
+ "R": [ 318 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2283": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 133 ],
+ "E": [ 134 ],
+ "Q": [ 328 ],
+ "R": [ 318 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2284": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 93 ],
+ "E": [ 135 ],
+ "Q": [ 94 ],
+ "R": [ 318 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2285": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 98 ],
+ "E": [ 135 ],
+ "Q": [ 91 ],
+ "R": [ 318 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2286": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 99 ],
+ "E": [ 135 ],
+ "Q": [ 95 ],
+ "R": [ 318 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2287": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 283 ],
+ "Q": [ 376 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2288": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 376 ],
+ "Q": [ 55 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2289": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 285 ],
+ "Q": [ 377 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2290": {
+ "hide_name": 1,
+ "type": "SB_DFF",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:962|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 377 ],
+ "Q": [ 62 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2291": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:956|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 287 ],
+ "E": [ 318 ],
+ "Q": [ 50 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2292": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:956|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 308 ],
+ "E": [ 136 ],
+ "Q": [ 51 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2293": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:956|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 289 ],
+ "E": [ 318 ],
+ "Q": [ 52 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2294": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:956|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 291 ],
+ "E": [ 318 ],
+ "Q": [ 53 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2295": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:956|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 293 ],
+ "E": [ 318 ],
+ "Q": [ 46 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2296": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:956|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 295 ],
+ "E": [ 318 ],
+ "Q": [ 47 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2297": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:956|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 297 ],
+ "E": [ 318 ],
+ "Q": [ 48 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2298": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:956|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 299 ],
+ "E": [ 318 ],
+ "Q": [ 49 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2299": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:956|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 301 ],
+ "E": [ 318 ],
+ "Q": [ 43 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2300": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:956|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 303 ],
+ "E": [ 318 ],
+ "Q": [ 44 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2301": {
+ "hide_name": 1,
+ "type": "SB_DFFE",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:956|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output"
+ },
+ "connections": {
+ "C": [ 373 ],
+ "D": [ 305 ],
+ "E": [ 318 ],
+ "Q": [ 45 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2302": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 378 ],
+ "D": [ 139 ],
+ "E": [ 141 ],
+ "Q": [ 379 ],
+ "R": [ 306 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2303": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 378 ],
+ "D": [ 232 ],
+ "E": [ 157 ],
+ "Q": [ 380 ],
+ "R": [ 306 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2304": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 378 ],
+ "D": [ 231 ],
+ "Q": [ 381 ],
+ "R": [ 306 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2305": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 378 ],
+ "D": [ 229 ],
+ "Q": [ 382 ],
+ "R": [ 306 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2306": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 378 ],
+ "D": [ 227 ],
+ "Q": [ 383 ],
+ "R": [ 306 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2307": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 378 ],
+ "D": [ 207 ],
+ "Q": [ 150 ],
+ "R": [ 306 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2308": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 378 ],
+ "D": [ 326 ],
+ "E": [ 169 ],
+ "Q": [ 151 ],
+ "R": [ 306 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2309": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 378 ],
+ "D": [ 209 ],
+ "Q": [ 152 ],
+ "R": [ 306 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2310": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 378 ],
+ "D": [ 211 ],
+ "Q": [ 153 ],
+ "R": [ 306 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2311": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 378 ],
+ "D": [ 213 ],
+ "Q": [ 143 ],
+ "R": [ 306 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2312": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 378 ],
+ "D": [ 215 ],
+ "Q": [ 142 ],
+ "R": [ 306 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2313": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 378 ],
+ "D": [ 217 ],
+ "Q": [ 145 ],
+ "R": [ 306 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2314": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 378 ],
+ "D": [ 219 ],
+ "Q": [ 148 ],
+ "R": [ 306 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2315": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 378 ],
+ "D": [ 221 ],
+ "Q": [ 147 ],
+ "R": [ 306 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2316": {
+ "hide_name": 1,
+ "type": "SB_DFFSR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:2"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 378 ],
+ "D": [ 223 ],
+ "Q": [ 149 ],
+ "R": [ 306 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2317": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 378 ],
+ "D": [ 188 ],
+ "E": [ 171 ],
+ "Q": [ 166 ],
+ "R": [ 306 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2318": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 378 ],
+ "D": [ 189 ],
+ "E": [ 175 ],
+ "Q": [ 168 ],
+ "R": [ 306 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2319": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 378 ],
+ "D": [ 191 ],
+ "E": [ 171 ],
+ "Q": [ 165 ],
+ "R": [ 306 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2320": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 378 ],
+ "D": [ 193 ],
+ "E": [ 171 ],
+ "Q": [ 167 ],
+ "R": [ 306 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2321": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 378 ],
+ "D": [ 195 ],
+ "E": [ 171 ],
+ "Q": [ 158 ],
+ "R": [ 306 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2322": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 378 ],
+ "D": [ 197 ],
+ "E": [ 171 ],
+ "Q": [ 162 ],
+ "R": [ 306 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2323": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 378 ],
+ "D": [ 199 ],
+ "E": [ 171 ],
+ "Q": [ 163 ],
+ "R": [ 306 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2324": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 378 ],
+ "D": [ 201 ],
+ "E": [ 171 ],
+ "Q": [ 164 ],
+ "R": [ 306 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2325": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 378 ],
+ "D": [ 203 ],
+ "E": [ 171 ],
+ "Q": [ 159 ],
+ "R": [ 306 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2326": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 378 ],
+ "D": [ 205 ],
+ "E": [ 171 ],
+ "Q": [ 161 ],
+ "R": [ 306 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2327": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 378 ],
+ "D": [ 186 ],
+ "E": [ 180 ],
+ "Q": [ 226 ],
+ "R": [ 306 ]
+ }
+ },
+ "$auto$simplemap.cc:420:simplemap_dff$2328": {
+ "hide_name": 1,
+ "type": "SB_DFFESR",
+ "parameters": {
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:893|/usr/local/bin/../share/yosys/ice40/cells_map.v:8"
+ },
+ "port_directions": {
+ "C": "input",
+ "D": "input",
+ "E": "input",
+ "Q": "output",
+ "R": "input"
+ },
+ "connections": {
+ "C": [ 378 ],
+ "D": [ 182 ],
+ "E": [ 183 ],
+ "Q": [ 225 ],
+ "R": [ 306 ]
+ }
+ },
+ "SB_GB_IO": {
+ "hide_name": 0,
+ "type": "SB_GB_IO",
+ "parameters": {
+ "PIN_TYPE": 1
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:1036"
+ },
+ "port_directions": {
+ "GLOBAL_BUFFER_OUTPUT": "output",
+ "PACKAGE_PIN": "inout"
+ },
+ "connections": {
+ "GLOBAL_BUFFER_OUTPUT": [ 373 ],
+ "PACKAGE_PIN": [ 2 ]
+ }
+ },
+ "SB_IO": {
+ "hide_name": 0,
+ "type": "SB_IO",
+ "parameters": {
+ "PIN_TYPE": 52
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:1043"
+ },
+ "port_directions": {
+ "D_IN_1": "output",
+ "D_OUT_0": "input",
+ "INPUT_CLK": "input",
+ "OUTPUT_CLK": "input",
+ "OUTPUT_ENABLE": "input",
+ "PACKAGE_PIN": "inout"
+ },
+ "connections": {
+ "D_IN_1": [ 384 ],
+ "D_OUT_0": [ 250 ],
+ "INPUT_CLK": [ 373 ],
+ "OUTPUT_CLK": [ 373 ],
+ "OUTPUT_ENABLE": [ "1" ],
+ "PACKAGE_PIN": [ 9 ]
+ }
+ },
+ "SB_IO_1": {
+ "hide_name": 0,
+ "type": "SB_IO",
+ "parameters": {
+ "PIN_TYPE": 52
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:1054"
+ },
+ "port_directions": {
+ "D_IN_1": "output",
+ "D_OUT_0": "input",
+ "INPUT_CLK": "input",
+ "OUTPUT_CLK": "input",
+ "OUTPUT_ENABLE": "input",
+ "PACKAGE_PIN": "inout"
+ },
+ "connections": {
+ "D_IN_1": [ 385 ],
+ "D_OUT_0": [ 97 ],
+ "INPUT_CLK": [ 373 ],
+ "OUTPUT_CLK": [ 373 ],
+ "OUTPUT_ENABLE": [ "1" ],
+ "PACKAGE_PIN": [ 10 ]
+ }
+ },
+ "SB_IO_10": {
+ "hide_name": 0,
+ "type": "SB_IO",
+ "parameters": {
+ "PIN_TYPE": 52
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:1153"
+ },
+ "port_directions": {
+ "D_IN_1": "output",
+ "D_OUT_0": "input",
+ "INPUT_CLK": "input",
+ "OUTPUT_CLK": "input",
+ "OUTPUT_ENABLE": "input",
+ "PACKAGE_PIN": "inout"
+ },
+ "connections": {
+ "D_IN_1": [ 386 ],
+ "D_OUT_0": [ "0" ],
+ "INPUT_CLK": [ 373 ],
+ "OUTPUT_CLK": [ 373 ],
+ "OUTPUT_ENABLE": [ 375 ],
+ "PACKAGE_PIN": [ 19 ]
+ }
+ },
+ "SB_IO_11": {
+ "hide_name": 0,
+ "type": "SB_IO",
+ "parameters": {
+ "PIN_TYPE": 52
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:1164"
+ },
+ "port_directions": {
+ "D_IN_1": "output",
+ "D_OUT_0": "input",
+ "INPUT_CLK": "input",
+ "OUTPUT_CLK": "input",
+ "OUTPUT_ENABLE": "input",
+ "PACKAGE_PIN": "inout"
+ },
+ "connections": {
+ "D_IN_1": [ 387 ],
+ "D_OUT_0": [ "0" ],
+ "INPUT_CLK": [ 373 ],
+ "OUTPUT_CLK": [ 373 ],
+ "OUTPUT_ENABLE": [ 375 ],
+ "PACKAGE_PIN": [ 20 ]
+ }
+ },
+ "SB_IO_12": {
+ "hide_name": 0,
+ "type": "SB_IO",
+ "parameters": {
+ "PIN_TYPE": 52
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:1175"
+ },
+ "port_directions": {
+ "D_IN_1": "output",
+ "D_OUT_0": "input",
+ "INPUT_CLK": "input",
+ "OUTPUT_CLK": "input",
+ "OUTPUT_ENABLE": "input",
+ "PACKAGE_PIN": "inout"
+ },
+ "connections": {
+ "D_IN_1": [ 388 ],
+ "D_OUT_0": [ "0" ],
+ "INPUT_CLK": [ 373 ],
+ "OUTPUT_CLK": [ 373 ],
+ "OUTPUT_ENABLE": [ 375 ],
+ "PACKAGE_PIN": [ 21 ]
+ }
+ },
+ "SB_IO_13": {
+ "hide_name": 0,
+ "type": "SB_IO",
+ "parameters": {
+ "PIN_TYPE": 52
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:1186"
+ },
+ "port_directions": {
+ "D_IN_1": "output",
+ "D_OUT_0": "input",
+ "INPUT_CLK": "input",
+ "OUTPUT_CLK": "input",
+ "OUTPUT_ENABLE": "input",
+ "PACKAGE_PIN": "inout"
+ },
+ "connections": {
+ "D_IN_1": [ 389 ],
+ "D_OUT_0": [ "0" ],
+ "INPUT_CLK": [ 373 ],
+ "OUTPUT_CLK": [ 373 ],
+ "OUTPUT_ENABLE": [ 375 ],
+ "PACKAGE_PIN": [ 22 ]
+ }
+ },
+ "SB_IO_14": {
+ "hide_name": 0,
+ "type": "SB_IO",
+ "parameters": {
+ "PIN_TYPE": 52
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:1197"
+ },
+ "port_directions": {
+ "D_IN_1": "output",
+ "D_OUT_0": "input",
+ "INPUT_CLK": "input",
+ "OUTPUT_CLK": "input",
+ "OUTPUT_ENABLE": "input",
+ "PACKAGE_PIN": "inout"
+ },
+ "connections": {
+ "D_IN_1": [ 390 ],
+ "D_OUT_0": [ 329 ],
+ "INPUT_CLK": [ 373 ],
+ "OUTPUT_CLK": [ 373 ],
+ "OUTPUT_ENABLE": [ "1" ],
+ "PACKAGE_PIN": [ 5 ]
+ }
+ },
+ "SB_IO_15": {
+ "hide_name": 0,
+ "type": "SB_IO",
+ "parameters": {
+ "PIN_TYPE": 52
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:1208"
+ },
+ "port_directions": {
+ "D_IN_1": "output",
+ "D_OUT_0": "input",
+ "INPUT_CLK": "input",
+ "OUTPUT_CLK": "input",
+ "OUTPUT_ENABLE": "input",
+ "PACKAGE_PIN": "inout"
+ },
+ "connections": {
+ "D_IN_1": [ 391 ],
+ "D_OUT_0": [ 96 ],
+ "INPUT_CLK": [ 373 ],
+ "OUTPUT_CLK": [ 373 ],
+ "OUTPUT_ENABLE": [ "1" ],
+ "PACKAGE_PIN": [ 6 ]
+ }
+ },
+ "SB_IO_16": {
+ "hide_name": 0,
+ "type": "SB_IO",
+ "parameters": {
+ "PIN_TYPE": 52
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:1219"
+ },
+ "port_directions": {
+ "D_IN_1": "output",
+ "D_OUT_0": "input",
+ "INPUT_CLK": "input",
+ "OUTPUT_CLK": "input",
+ "OUTPUT_ENABLE": "input",
+ "PACKAGE_PIN": "inout"
+ },
+ "connections": {
+ "D_IN_1": [ 392 ],
+ "D_OUT_0": [ "1" ],
+ "INPUT_CLK": [ 373 ],
+ "OUTPUT_CLK": [ 373 ],
+ "OUTPUT_ENABLE": [ "1" ],
+ "PACKAGE_PIN": [ 7 ]
+ }
+ },
+ "SB_IO_17": {
+ "hide_name": 0,
+ "type": "SB_IO",
+ "parameters": {
+ "PIN_TYPE": 52
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:1230"
+ },
+ "port_directions": {
+ "D_IN_1": "output",
+ "D_OUT_0": "input",
+ "INPUT_CLK": "input",
+ "OUTPUT_CLK": "input",
+ "OUTPUT_ENABLE": "input",
+ "PACKAGE_PIN": "inout"
+ },
+ "connections": {
+ "D_IN_1": [ 393 ],
+ "D_OUT_0": [ 281 ],
+ "INPUT_CLK": [ 373 ],
+ "OUTPUT_CLK": [ 373 ],
+ "OUTPUT_ENABLE": [ "1" ],
+ "PACKAGE_PIN": [ 8 ]
+ }
+ },
+ "SB_IO_18": {
+ "hide_name": 0,
+ "type": "SB_IO",
+ "parameters": {
+ "PIN_TYPE": 41
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:1241"
+ },
+ "port_directions": {
+ "D_IN_0": "output",
+ "D_OUT_0": "input",
+ "OUTPUT_ENABLE": "input",
+ "PACKAGE_PIN": "inout"
+ },
+ "connections": {
+ "D_IN_0": [ 394 ],
+ "D_OUT_0": [ 379 ],
+ "OUTPUT_ENABLE": [ "1" ],
+ "PACKAGE_PIN": [ 23 ]
+ }
+ },
+ "SB_IO_19": {
+ "hide_name": 0,
+ "type": "SB_IO",
+ "parameters": {
+ "PIN_TYPE": 41
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:1250"
+ },
+ "port_directions": {
+ "D_IN_0": "output",
+ "D_OUT_0": "input",
+ "OUTPUT_ENABLE": "input",
+ "PACKAGE_PIN": "inout"
+ },
+ "connections": {
+ "D_IN_0": [ 395 ],
+ "D_OUT_0": [ 380 ],
+ "OUTPUT_ENABLE": [ "1" ],
+ "PACKAGE_PIN": [ 24 ]
+ }
+ },
+ "SB_IO_2": {
+ "hide_name": 0,
+ "type": "SB_IO",
+ "parameters": {
+ "PIN_TYPE": 52
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:1065"
+ },
+ "port_directions": {
+ "D_IN_1": "output",
+ "D_OUT_0": "input",
+ "INPUT_CLK": "input",
+ "OUTPUT_CLK": "input",
+ "OUTPUT_ENABLE": "input",
+ "PACKAGE_PIN": "inout"
+ },
+ "connections": {
+ "D_IN_1": [ 333 ],
+ "D_OUT_0": [ "0" ],
+ "INPUT_CLK": [ 373 ],
+ "OUTPUT_CLK": [ 373 ],
+ "OUTPUT_ENABLE": [ "0" ],
+ "PACKAGE_PIN": [ 11 ]
+ }
+ },
+ "SB_IO_20": {
+ "hide_name": 0,
+ "type": "SB_IO",
+ "parameters": {
+ "PIN_TYPE": 41
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:1259"
+ },
+ "port_directions": {
+ "D_IN_0": "output",
+ "D_OUT_0": "input",
+ "OUTPUT_ENABLE": "input",
+ "PACKAGE_PIN": "inout"
+ },
+ "connections": {
+ "D_IN_0": [ 396 ],
+ "D_OUT_0": [ 381 ],
+ "OUTPUT_ENABLE": [ "1" ],
+ "PACKAGE_PIN": [ 25 ]
+ }
+ },
+ "SB_IO_21": {
+ "hide_name": 0,
+ "type": "SB_IO",
+ "parameters": {
+ "PIN_TYPE": 41
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:1268"
+ },
+ "port_directions": {
+ "D_IN_0": "output",
+ "D_OUT_0": "input",
+ "OUTPUT_ENABLE": "input",
+ "PACKAGE_PIN": "inout"
+ },
+ "connections": {
+ "D_IN_0": [ 397 ],
+ "D_OUT_0": [ 382 ],
+ "OUTPUT_ENABLE": [ "1" ],
+ "PACKAGE_PIN": [ 26 ]
+ }
+ },
+ "SB_IO_22": {
+ "hide_name": 0,
+ "type": "SB_IO",
+ "parameters": {
+ "PIN_TYPE": 41
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:1277"
+ },
+ "port_directions": {
+ "D_IN_0": "output",
+ "D_OUT_0": "input",
+ "OUTPUT_ENABLE": "input",
+ "PACKAGE_PIN": "inout"
+ },
+ "connections": {
+ "D_IN_0": [ 398 ],
+ "D_OUT_0": [ 383 ],
+ "OUTPUT_ENABLE": [ "1" ],
+ "PACKAGE_PIN": [ 27 ]
+ }
+ },
+ "SB_IO_23": {
+ "hide_name": 0,
+ "type": "SB_IO",
+ "parameters": {
+ "PIN_TYPE": 41
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:1286"
+ },
+ "port_directions": {
+ "D_IN_0": "output",
+ "D_OUT_0": "input",
+ "OUTPUT_ENABLE": "input",
+ "PACKAGE_PIN": "inout"
+ },
+ "connections": {
+ "D_IN_0": [ 282 ],
+ "D_OUT_0": [ "0" ],
+ "OUTPUT_ENABLE": [ "0" ],
+ "PACKAGE_PIN": [ 3 ]
+ }
+ },
+ "SB_IO_24": {
+ "hide_name": 0,
+ "type": "SB_IO",
+ "parameters": {
+ "PIN_TYPE": 41
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:1295"
+ },
+ "port_directions": {
+ "D_IN_0": "output",
+ "D_OUT_0": "input",
+ "OUTPUT_ENABLE": "input",
+ "PACKAGE_PIN": "inout"
+ },
+ "connections": {
+ "D_IN_0": [ 284 ],
+ "D_OUT_0": [ "0" ],
+ "OUTPUT_ENABLE": [ 374 ],
+ "PACKAGE_PIN": [ 4 ]
+ }
+ },
+ "SB_IO_3": {
+ "hide_name": 0,
+ "type": "SB_IO",
+ "parameters": {
+ "PIN_TYPE": 52
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:1076"
+ },
+ "port_directions": {
+ "D_IN_1": "output",
+ "D_OUT_0": "input",
+ "INPUT_CLK": "input",
+ "OUTPUT_CLK": "input",
+ "OUTPUT_ENABLE": "input",
+ "PACKAGE_PIN": "inout"
+ },
+ "connections": {
+ "D_IN_1": [ 330 ],
+ "D_OUT_0": [ "0" ],
+ "INPUT_CLK": [ 373 ],
+ "OUTPUT_CLK": [ 373 ],
+ "OUTPUT_ENABLE": [ "0" ],
+ "PACKAGE_PIN": [ 12 ]
+ }
+ },
+ "SB_IO_4": {
+ "hide_name": 0,
+ "type": "SB_IO",
+ "parameters": {
+ "PIN_TYPE": 52
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:1087"
+ },
+ "port_directions": {
+ "D_IN_1": "output",
+ "D_OUT_0": "input",
+ "INPUT_CLK": "input",
+ "OUTPUT_CLK": "input",
+ "OUTPUT_ENABLE": "input",
+ "PACKAGE_PIN": "inout"
+ },
+ "connections": {
+ "D_IN_1": [ 334 ],
+ "D_OUT_0": [ "0" ],
+ "INPUT_CLK": [ 373 ],
+ "OUTPUT_CLK": [ 373 ],
+ "OUTPUT_ENABLE": [ "0" ],
+ "PACKAGE_PIN": [ 13 ]
+ }
+ },
+ "SB_IO_5": {
+ "hide_name": 0,
+ "type": "SB_IO",
+ "parameters": {
+ "PIN_TYPE": 52
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:1098"
+ },
+ "port_directions": {
+ "D_IN_1": "output",
+ "D_OUT_0": "input",
+ "INPUT_CLK": "input",
+ "OUTPUT_CLK": "input",
+ "OUTPUT_ENABLE": "input",
+ "PACKAGE_PIN": "inout"
+ },
+ "connections": {
+ "D_IN_1": [ 331 ],
+ "D_OUT_0": [ "0" ],
+ "INPUT_CLK": [ 373 ],
+ "OUTPUT_CLK": [ 373 ],
+ "OUTPUT_ENABLE": [ "0" ],
+ "PACKAGE_PIN": [ 14 ]
+ }
+ },
+ "SB_IO_6": {
+ "hide_name": 0,
+ "type": "SB_IO",
+ "parameters": {
+ "PIN_TYPE": 52
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:1109"
+ },
+ "port_directions": {
+ "D_IN_1": "output",
+ "D_OUT_0": "input",
+ "INPUT_CLK": "input",
+ "OUTPUT_CLK": "input",
+ "OUTPUT_ENABLE": "input",
+ "PACKAGE_PIN": "inout"
+ },
+ "connections": {
+ "D_IN_1": [ 399 ],
+ "D_OUT_0": [ "0" ],
+ "INPUT_CLK": [ 373 ],
+ "OUTPUT_CLK": [ 373 ],
+ "OUTPUT_ENABLE": [ 375 ],
+ "PACKAGE_PIN": [ 15 ]
+ }
+ },
+ "SB_IO_7": {
+ "hide_name": 0,
+ "type": "SB_IO",
+ "parameters": {
+ "PIN_TYPE": 52
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:1120"
+ },
+ "port_directions": {
+ "D_IN_1": "output",
+ "D_OUT_0": "input",
+ "INPUT_CLK": "input",
+ "OUTPUT_CLK": "input",
+ "OUTPUT_ENABLE": "input",
+ "PACKAGE_PIN": "inout"
+ },
+ "connections": {
+ "D_IN_1": [ 400 ],
+ "D_OUT_0": [ "0" ],
+ "INPUT_CLK": [ 373 ],
+ "OUTPUT_CLK": [ 373 ],
+ "OUTPUT_ENABLE": [ 375 ],
+ "PACKAGE_PIN": [ 16 ]
+ }
+ },
+ "SB_IO_8": {
+ "hide_name": 0,
+ "type": "SB_IO",
+ "parameters": {
+ "PIN_TYPE": 52
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:1131"
+ },
+ "port_directions": {
+ "D_IN_1": "output",
+ "D_OUT_0": "input",
+ "INPUT_CLK": "input",
+ "OUTPUT_CLK": "input",
+ "OUTPUT_ENABLE": "input",
+ "PACKAGE_PIN": "inout"
+ },
+ "connections": {
+ "D_IN_1": [ 401 ],
+ "D_OUT_0": [ "0" ],
+ "INPUT_CLK": [ 373 ],
+ "OUTPUT_CLK": [ 373 ],
+ "OUTPUT_ENABLE": [ 375 ],
+ "PACKAGE_PIN": [ 17 ]
+ }
+ },
+ "SB_IO_9": {
+ "hide_name": 0,
+ "type": "SB_IO",
+ "parameters": {
+ "PIN_TYPE": 52
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:1142"
+ },
+ "port_directions": {
+ "D_IN_1": "output",
+ "D_OUT_0": "input",
+ "INPUT_CLK": "input",
+ "OUTPUT_CLK": "input",
+ "OUTPUT_ENABLE": "input",
+ "PACKAGE_PIN": "inout"
+ },
+ "connections": {
+ "D_IN_1": [ 402 ],
+ "D_OUT_0": [ "0" ],
+ "INPUT_CLK": [ 373 ],
+ "OUTPUT_CLK": [ 373 ],
+ "OUTPUT_ENABLE": [ 375 ],
+ "PACKAGE_PIN": [ 18 ]
+ }
+ },
+ "SB_PLL40_CORE": {
+ "hide_name": 0,
+ "type": "SB_PLL40_CORE",
+ "parameters": {
+ "DIVF": 26,
+ "DIVQ": 5,
+ "DIVR": 0,
+ "FEEDBACK_PATH": "SIMPLE",
+ "FILTER_RANGE": 6,
+ "PLLOUT_SELECT": "GENCLK"
+ },
+ "attributes": {
+ "module_not_derived": 1,
+ "src": "top.v:1304"
+ },
+ "port_directions": {
+ "BYPASS": "input",
+ "PLLOUTGLOBAL": "output",
+ "REFERENCECLK": "input",
+ "RESETB": "input"
+ },
+ "connections": {
+ "BYPASS": [ "0" ],
+ "PLLOUTGLOBAL": [ 378 ],
+ "REFERENCECLK": [ 373 ],
+ "RESETB": [ 39 ]
+ }
+ }
+ },
+ "netnames": {
+ "$0\\reset_delay[10:0]": {
+ "hide_name": 1,
+ "bits": [ 286, 51, 288, 290, 292, 294, 296, 298, 300, 302, 304 ],
+ "attributes": {
+ "src": "top.v:956"
+ }
+ },
+ "$abc$3656$n1": {
+ "hide_name": 1,
+ "bits": [ 32 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n11": {
+ "hide_name": 1,
+ "bits": [ 285 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n13": {
+ "hide_name": 1,
+ "bits": [ 287 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n15": {
+ "hide_name": 1,
+ "bits": [ 289 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n17": {
+ "hide_name": 1,
+ "bits": [ 291 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n171": {
+ "hide_name": 1,
+ "bits": [ 319 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n172": {
+ "hide_name": 1,
+ "bits": [ 320 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n174": {
+ "hide_name": 1,
+ "bits": [ 321 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n175": {
+ "hide_name": 1,
+ "bits": [ 322 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n177": {
+ "hide_name": 1,
+ "bits": [ 323 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n178": {
+ "hide_name": 1,
+ "bits": [ 324 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n180": {
+ "hide_name": 1,
+ "bits": [ 325 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n19": {
+ "hide_name": 1,
+ "bits": [ 293 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n21": {
+ "hide_name": 1,
+ "bits": [ 295 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n23": {
+ "hide_name": 1,
+ "bits": [ 297 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n243": {
+ "hide_name": 1,
+ "bits": [ 30 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n244": {
+ "hide_name": 1,
+ "bits": [ 28 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n246": {
+ "hide_name": 1,
+ "bits": [ 40 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n247": {
+ "hide_name": 1,
+ "bits": [ 41 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n248": {
+ "hide_name": 1,
+ "bits": [ 42 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n249": {
+ "hide_name": 1,
+ "bits": [ 38 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n25": {
+ "hide_name": 1,
+ "bits": [ 299 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n250": {
+ "hide_name": 1,
+ "bits": [ 37 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n251": {
+ "hide_name": 1,
+ "bits": [ 35 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n252": {
+ "hide_name": 1,
+ "bits": [ 59 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n253": {
+ "hide_name": 1,
+ "bits": [ 60 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n254": {
+ "hide_name": 1,
+ "bits": [ 33 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n255": {
+ "hide_name": 1,
+ "bits": [ 63 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n256": {
+ "hide_name": 1,
+ "bits": [ 34 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n257": {
+ "hide_name": 1,
+ "bits": [ 65 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n258": {
+ "hide_name": 1,
+ "bits": [ 66 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n259": {
+ "hide_name": 1,
+ "bits": [ 31 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n260": {
+ "hide_name": 1,
+ "bits": [ 69 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n261": {
+ "hide_name": 1,
+ "bits": [ 74 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n262": {
+ "hide_name": 1,
+ "bits": [ 71 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n263": {
+ "hide_name": 1,
+ "bits": [ 72 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n264": {
+ "hide_name": 1,
+ "bits": [ 73 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n265": {
+ "hide_name": 1,
+ "bits": [ 70 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n266": {
+ "hide_name": 1,
+ "bits": [ 29 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n27": {
+ "hide_name": 1,
+ "bits": [ 301 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n276": {
+ "hide_name": 1,
+ "bits": [ 90 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n281": {
+ "hide_name": 1,
+ "bits": [ 102 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n282": {
+ "hide_name": 1,
+ "bits": [ 103 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n284": {
+ "hide_name": 1,
+ "bits": [ 105 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n285": {
+ "hide_name": 1,
+ "bits": [ 106 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n286": {
+ "hide_name": 1,
+ "bits": [ 110 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n287": {
+ "hide_name": 1,
+ "bits": [ 108 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n288": {
+ "hide_name": 1,
+ "bits": [ 113 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n289": {
+ "hide_name": 1,
+ "bits": [ 109 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n29": {
+ "hide_name": 1,
+ "bits": [ 303 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n290": {
+ "hide_name": 1,
+ "bits": [ 104 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n292": {
+ "hide_name": 1,
+ "bits": [ 119 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n293": {
+ "hide_name": 1,
+ "bits": [ 122 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n294": {
+ "hide_name": 1,
+ "bits": [ 120 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n296": {
+ "hide_name": 1,
+ "bits": [ 123 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n298": {
+ "hide_name": 1,
+ "bits": [ 126 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n299": {
+ "hide_name": 1,
+ "bits": [ 125 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n3": {
+ "hide_name": 1,
+ "bits": [ 87 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n304": {
+ "hide_name": 1,
+ "bits": [ 132 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n31": {
+ "hide_name": 1,
+ "bits": [ 305 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n311": {
+ "hide_name": 1,
+ "bits": [ 144 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n312": {
+ "hide_name": 1,
+ "bits": [ 146 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n313": {
+ "hide_name": 1,
+ "bits": [ 137 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n314": {
+ "hide_name": 1,
+ "bits": [ 101 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n314_1": {
+ "hide_name": 1,
+ "bits": [ 138 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n316": {
+ "hide_name": 1,
+ "bits": [ 107 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n316_1": {
+ "hide_name": 1,
+ "bits": [ 156 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n317": {
+ "hide_name": 1,
+ "bits": [ 160 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n318": {
+ "hide_name": 1,
+ "bits": [ 121 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n318_1": {
+ "hide_name": 1,
+ "bits": [ 154 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n319": {
+ "hide_name": 1,
+ "bits": [ 155 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n32": {
+ "hide_name": 1,
+ "bits": [ 54 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n320": {
+ "hide_name": 1,
+ "bits": [ 124 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n322": {
+ "hide_name": 1,
+ "bits": [ 127 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n322_1": {
+ "hide_name": 1,
+ "bits": [ 170 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n323_1": {
+ "hide_name": 1,
+ "bits": [ 173 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n324": {
+ "hide_name": 1,
+ "bits": [ 172 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n326": {
+ "hide_name": 1,
+ "bits": [ 174 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n327": {
+ "hide_name": 1,
+ "bits": [ 176 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n328": {
+ "hide_name": 1,
+ "bits": [ 177 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n329": {
+ "hide_name": 1,
+ "bits": [ 128 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n330": {
+ "hide_name": 1,
+ "bits": [ 179 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n331": {
+ "hide_name": 1,
+ "bits": [ 129 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n331_1": {
+ "hide_name": 1,
+ "bits": [ 178 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n333": {
+ "hide_name": 1,
+ "bits": [ 131 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n334": {
+ "hide_name": 1,
+ "bits": [ 181 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n335": {
+ "hide_name": 1,
+ "bits": [ 134 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n336": {
+ "hide_name": 1,
+ "bits": [ 135 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n336_1": {
+ "hide_name": 1,
+ "bits": [ 184 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n34": {
+ "hide_name": 1,
+ "bits": [ 61 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n340": {
+ "hide_name": 1,
+ "bits": [ 136 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n354": {
+ "hide_name": 1,
+ "bits": [ 141 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n36": {
+ "hide_name": 1,
+ "bits": [ 140 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n368": {
+ "hide_name": 1,
+ "bits": [ 157 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n371": {
+ "hide_name": 1,
+ "bits": [ 169 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n371_1": {
+ "hide_name": 1,
+ "bits": [ 241 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n376": {
+ "hide_name": 1,
+ "bits": [ 171 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n377": {
+ "hide_name": 1,
+ "bits": [ 175 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n379": {
+ "hide_name": 1,
+ "bits": [ 180 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n38": {
+ "hide_name": 1,
+ "bits": [ 55 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n381": {
+ "hide_name": 1,
+ "bits": [ 183 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n385_1": {
+ "hide_name": 1,
+ "bits": [ 252 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n388_1": {
+ "hide_name": 1,
+ "bits": [ 253 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n391": {
+ "hide_name": 1,
+ "bits": [ 254 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n392_1": {
+ "hide_name": 1,
+ "bits": [ 258 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n393": {
+ "hide_name": 1,
+ "bits": [ 257 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n394_1": {
+ "hide_name": 1,
+ "bits": [ 255 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n396": {
+ "hide_name": 1,
+ "bits": [ 259 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n40": {
+ "hide_name": 1,
+ "bits": [ 62 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n408": {
+ "hide_name": 1,
+ "bits": [ 185 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n411": {
+ "hide_name": 1,
+ "bits": [ 182 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n412": {
+ "hide_name": 1,
+ "bits": [ 186 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n413": {
+ "hide_name": 1,
+ "bits": [ 187 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n414": {
+ "hide_name": 1,
+ "bits": [ 188 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n416": {
+ "hide_name": 1,
+ "bits": [ 189 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n417": {
+ "hide_name": 1,
+ "bits": [ 190 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n418": {
+ "hide_name": 1,
+ "bits": [ 191 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n419": {
+ "hide_name": 1,
+ "bits": [ 192 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n42": {
+ "hide_name": 1,
+ "bits": [ 50 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n420": {
+ "hide_name": 1,
+ "bits": [ 193 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n421": {
+ "hide_name": 1,
+ "bits": [ 194 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n422": {
+ "hide_name": 1,
+ "bits": [ 195 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n423": {
+ "hide_name": 1,
+ "bits": [ 196 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n424": {
+ "hide_name": 1,
+ "bits": [ 197 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n425": {
+ "hide_name": 1,
+ "bits": [ 198 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n426": {
+ "hide_name": 1,
+ "bits": [ 199 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n427": {
+ "hide_name": 1,
+ "bits": [ 200 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n428": {
+ "hide_name": 1,
+ "bits": [ 201 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n429": {
+ "hide_name": 1,
+ "bits": [ 202 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n430": {
+ "hide_name": 1,
+ "bits": [ 203 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n431": {
+ "hide_name": 1,
+ "bits": [ 204 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n432": {
+ "hide_name": 1,
+ "bits": [ 205 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n433": {
+ "hide_name": 1,
+ "bits": [ 206 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n434": {
+ "hide_name": 1,
+ "bits": [ 207 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n436": {
+ "hide_name": 1,
+ "bits": [ 326 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n437": {
+ "hide_name": 1,
+ "bits": [ 208 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n438": {
+ "hide_name": 1,
+ "bits": [ 209 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n439": {
+ "hide_name": 1,
+ "bits": [ 210 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n440": {
+ "hide_name": 1,
+ "bits": [ 211 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n441": {
+ "hide_name": 1,
+ "bits": [ 212 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n442": {
+ "hide_name": 1,
+ "bits": [ 213 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n443": {
+ "hide_name": 1,
+ "bits": [ 214 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n444": {
+ "hide_name": 1,
+ "bits": [ 215 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n444_1": {
+ "hide_name": 1,
+ "bits": [ 332 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n445": {
+ "hide_name": 1,
+ "bits": [ 216 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n445_1": {
+ "hide_name": 1,
+ "bits": [ 335 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n446": {
+ "hide_name": 1,
+ "bits": [ 217 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n446_1": {
+ "hide_name": 1,
+ "bits": [ 92 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n447": {
+ "hide_name": 1,
+ "bits": [ 218 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n448": {
+ "hide_name": 1,
+ "bits": [ 219 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n449": {
+ "hide_name": 1,
+ "bits": [ 220 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n450": {
+ "hide_name": 1,
+ "bits": [ 221 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n450_1": {
+ "hide_name": 1,
+ "bits": [ 336 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n451": {
+ "hide_name": 1,
+ "bits": [ 222 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n452": {
+ "hide_name": 1,
+ "bits": [ 223 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n452_1": {
+ "hide_name": 1,
+ "bits": [ 337 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n453": {
+ "hide_name": 1,
+ "bits": [ 338 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n455": {
+ "hide_name": 1,
+ "bits": [ 227 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n457": {
+ "hide_name": 1,
+ "bits": [ 229 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n459": {
+ "hide_name": 1,
+ "bits": [ 231 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n46": {
+ "hide_name": 1,
+ "bits": [ 52 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n460": {
+ "hide_name": 1,
+ "bits": [ 232 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n461": {
+ "hide_name": 1,
+ "bits": [ 139 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n48": {
+ "hide_name": 1,
+ "bits": [ 53 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n5": {
+ "hide_name": 1,
+ "bits": [ 88 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n50": {
+ "hide_name": 1,
+ "bits": [ 46 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n52": {
+ "hide_name": 1,
+ "bits": [ 47 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n54": {
+ "hide_name": 1,
+ "bits": [ 48 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n56": {
+ "hide_name": 1,
+ "bits": [ 49 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n58": {
+ "hide_name": 1,
+ "bits": [ 43 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n60": {
+ "hide_name": 1,
+ "bits": [ 44 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n62": {
+ "hide_name": 1,
+ "bits": [ 45 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n7": {
+ "hide_name": 1,
+ "bits": [ 89 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n727": {
+ "hide_name": 1,
+ "bits": [ 276 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n731": {
+ "hide_name": 1,
+ "bits": [ 279 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n803": {
+ "hide_name": 1,
+ "bits": [ 39 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n805": {
+ "hide_name": 1,
+ "bits": [ 85 ],
+ "attributes": {
+ }
+ },
+ "$abc$3656$n9": {
+ "hide_name": 1,
+ "bits": [ 283 ],
+ "attributes": {
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1080.C": {
+ "hide_name": 1,
+ "bits": [ 403, 404, 405, 340, 341, 342, 343, 344 ],
+ "attributes": {
+ "src": "top.v:389|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1091.C": {
+ "hide_name": 1,
+ "bits": [ 406, 345, 346 ],
+ "attributes": {
+ "src": "top.v:774|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1094.C": {
+ "hide_name": 1,
+ "bits": [ 407, 408, 347, 348, 349, 350, 351, 352, 353, 354 ],
+ "attributes": {
+ "src": "top.v:898|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1097.C": {
+ "hide_name": 1,
+ "bits": [ 409, 410, 355, 356, 357, 358, 359, 360, 361, 362 ],
+ "attributes": {
+ "src": "top.v:902|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1100.C": {
+ "hide_name": 1,
+ "bits": [ 411, 412, 363 ],
+ "attributes": {
+ "src": "top.v:241|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
+ }
+ },
+ "$auto$alumacc.cc:474:replace_alu$1103.C": {
+ "hide_name": 1,
+ "bits": [ 413, 414, 365, 366, 367, 368, 369, 370, 371, 372, 364 ],
+ "attributes": {
+ "src": "top.v:958|/usr/local/bin/../share/yosys/ice40/arith_map.v:43"
+ }
+ },
+ "$auto$ice40_ffinit.cc:140:execute$3597": {
+ "hide_name": 1,
+ "bits": [ 376 ],
+ "attributes": {
+ }
+ },
+ "$auto$ice40_ffinit.cc:140:execute$3605": {
+ "hide_name": 1,
+ "bits": [ 377 ],
+ "attributes": {
+ }
+ },
+ "b": {
+ "hide_name": 0,
+ "bits": [ 383 ],
+ "attributes": {
+ "src": "top.v:119"
+ }
+ },
+ "b_i": {
+ "hide_name": 0,
+ "bits": [ 398 ],
+ "attributes": {
+ "src": "top.v:114",
+ "unused_bits": "0"
+ }
+ },
+ "b_o": {
+ "hide_name": 0,
+ "bits": [ 383 ],
+ "attributes": {
+ "src": "top.v:112"
+ }
+ },
+ "basiclowerer_array_muxed0": {
+ "hide_name": 0,
+ "bits": [ 306 ],
+ "attributes": {
+ "src": "top.v:161"
+ }
+ },
+ "basiclowerer_array_muxed1": {
+ "hide_name": 0,
+ "bits": [ 399, 400, 401, 402, 386, 387, 388, 389 ],
+ "attributes": {
+ "src": "top.v:162",
+ "unused_bits": "0 1 2 3 4 5 6 7"
+ }
+ },
+ "clk_if": {
+ "hide_name": 0,
+ "bits": [ 2 ],
+ "attributes": {
+ "src": "top.v:3"
+ }
+ },
+ "fd_t_registeredtristate1": {
+ "hide_name": 0,
+ "bits": [ 399, 400, 401, 402, 386, 387, 388, 389 ],
+ "attributes": {
+ "src": "top.v:70",
+ "unused_bits": "0 1 2 3 4 5 6 7"
+ }
+ },
+ "fd_t_registeredtristate_oe": {
+ "hide_name": 0,
+ "bits": [ 375 ],
+ "attributes": {
+ "src": "top.v:68"
+ }
+ },
+ "fifoadr_t_registeredtristate0": {
+ "hide_name": 0,
+ "bits": [ 250, 97 ],
+ "attributes": {
+ "src": "top.v:63"
+ }
+ },
+ "fifoadr_t_registeredtristate1": {
+ "hide_name": 0,
+ "bits": [ 384, 385 ],
+ "attributes": {
+ "src": "top.v:64",
+ "unused_bits": "0 1"
+ }
+ },
+ "flag_t_registeredtristate1": {
+ "hide_name": 0,
+ "bits": [ 333, 330, 334, 331 ],
+ "attributes": {
+ "src": "top.v:67"
+ }
+ },
+ "fx2_fd": {
+ "hide_name": 0,
+ "bits": [ 15, 16, 17, 18, 19, 20, 21, 22 ],
+ "attributes": {
+ "src": "top.v:12"
+ }
+ },
+ "fx2_fifoadr": {
+ "hide_name": 0,
+ "bits": [ 9, 10 ],
+ "attributes": {
+ "src": "top.v:10"
+ }
+ },
+ "fx2_flag": {
+ "hide_name": 0,
+ "bits": [ 11, 12, 13, 14 ],
+ "attributes": {
+ "src": "top.v:11"
+ }
+ },
+ "fx2_pktend": {
+ "hide_name": 0,
+ "bits": [ 8 ],
+ "attributes": {
+ "src": "top.v:9"
+ }
+ },
+ "fx2_sloe": {
+ "hide_name": 0,
+ "bits": [ 5 ],
+ "attributes": {
+ "src": "top.v:6"
+ }
+ },
+ "fx2_slrd": {
+ "hide_name": 0,
+ "bits": [ 6 ],
+ "attributes": {
+ "src": "top.v:7"
+ }
+ },
+ "fx2_slwr": {
+ "hide_name": 0,
+ "bits": [ 7 ],
+ "attributes": {
+ "src": "top.v:8"
+ }
+ },
+ "fx2arbiter_addr": {
+ "hide_name": 0,
+ "bits": [ 250, 97 ],
+ "attributes": {
+ "src": "top.v:145"
+ }
+ },
+ "fx2arbiter_addr_fx2arbiter_next_value2": {
+ "hide_name": 0,
+ "bits": [ 327, 251 ],
+ "attributes": {
+ "src": "top.v:159"
+ }
+ },
+ "fx2arbiter_fdoe": {
+ "hide_name": 0,
+ "bits": [ 375 ],
+ "attributes": {
+ "src": "top.v:146"
+ }
+ },
+ "fx2arbiter_fdoe_fx2arbiter_next_value1": {
+ "hide_name": 0,
+ "bits": [ 130 ],
+ "attributes": {
+ "src": "top.v:157"
+ }
+ },
+ "fx2arbiter_flag": {
+ "hide_name": 0,
+ "bits": [ 333, 330, 334, 331 ],
+ "attributes": {
+ "src": "top.v:144"
+ }
+ },
+ "fx2arbiter_naddr": {
+ "hide_name": 0,
+ "bits": [ 327, 251 ],
+ "attributes": {
+ "src": "top.v:152"
+ }
+ },
+ "fx2arbiter_next_state": {
+ "hide_name": 0,
+ "bits": [ 93, 98, 99 ],
+ "attributes": {
+ "src": "top.v:154"
+ }
+ },
+ "fx2arbiter_sloe": {
+ "hide_name": 0,
+ "bits": [ 328 ],
+ "attributes": {
+ "src": "top.v:147"
+ }
+ },
+ "fx2arbiter_sloe_fx2arbiter_next_value0": {
+ "hide_name": 0,
+ "bits": [ 133 ],
+ "attributes": {
+ "src": "top.v:155"
+ }
+ },
+ "fx2arbiter_state": {
+ "hide_name": 0,
+ "bits": [ 94, 91, 95 ],
+ "attributes": {
+ "src": "top.v:153"
+ }
+ },
+ "g": {
+ "hide_name": 0,
+ "bits": [ 382 ],
+ "attributes": {
+ "src": "top.v:118"
+ }
+ },
+ "g_i": {
+ "hide_name": 0,
+ "bits": [ 397 ],
+ "attributes": {
+ "src": "top.v:111",
+ "unused_bits": "0"
+ }
+ },
+ "g_o": {
+ "hide_name": 0,
+ "bits": [ 382 ],
+ "attributes": {
+ "src": "top.v:109"
+ }
+ },
+ "h_ctr": {
+ "hide_name": 0,
+ "bits": [ 150, 151, 152, 153, 143, 142, 145, 148, 147, 149 ],
+ "attributes": {
+ "src": "top.v:121"
+ }
+ },
+ "h_en": {
+ "hide_name": 0,
+ "bits": [ 226 ],
+ "attributes": {
+ "src": "top.v:123"
+ }
+ },
+ "hs": {
+ "hide_name": 0,
+ "bits": [ 379 ],
+ "attributes": {
+ "src": "top.v:115"
+ }
+ },
+ "hs_i": {
+ "hide_name": 0,
+ "bits": [ 394 ],
+ "attributes": {
+ "src": "top.v:102",
+ "unused_bits": "0"
+ }
+ },
+ "hs_o": {
+ "hide_name": 0,
+ "bits": [ 379 ],
+ "attributes": {
+ "src": "top.v:100"
+ }
+ },
+ "i2c_scl": {
+ "hide_name": 0,
+ "bits": [ 3 ],
+ "attributes": {
+ "src": "top.v:4"
+ }
+ },
+ "i2c_sda": {
+ "hide_name": 0,
+ "bits": [ 4 ],
+ "attributes": {
+ "src": "top.v:5"
+ }
+ },
+ "i2c_slave_bitno": {
+ "hide_name": 0,
+ "bits": [ 67, 68, 64 ],
+ "attributes": {
+ "src": "top.v:53"
+ }
+ },
+ "i2c_slave_bitno_i2cslave_next_value0": {
+ "hide_name": 0,
+ "bits": [ 277, 278, 280 ],
+ "attributes": {
+ "src": "top.v:133"
+ }
+ },
+ "i2c_slave_data_i": {
+ "hide_name": 0,
+ "bits": [ 84, 81, 82, 83, 77, 78, 79, 80 ],
+ "attributes": {
+ "src": "top.v:39"
+ }
+ },
+ "i2c_slave_data_i_i2cslave_next_value4": {
+ "hide_name": 0,
+ "bits": [ 233, 234, 235, 236, 237, 238, 239, 240 ],
+ "attributes": {
+ "src": "top.v:141"
+ }
+ },
+ "i2c_slave_data_o": {
+ "hide_name": 0,
+ "bits": [ 306, "0", "0", "0", "0", "0", "0", "0" ],
+ "attributes": {
+ "src": "top.v:42"
+ }
+ },
+ "i2c_slave_is_el2": {
+ "hide_name": 0,
+ "bits": [ 76 ],
+ "attributes": {
+ "src": "top.v:58"
+ }
+ },
+ "i2c_slave_is_el3": {
+ "hide_name": 0,
+ "bits": [ 100 ],
+ "attributes": {
+ "src": "top.v:59"
+ }
+ },
+ "i2c_slave_shreg_i": {
+ "hide_name": 0,
+ "bits": [ 36, 115, 116, 117, 114, 118, 111, 112 ],
+ "attributes": {
+ "src": "top.v:54"
+ }
+ },
+ "i2c_slave_shreg_i_i2cslave_next_value1": {
+ "hide_name": 0,
+ "bits": [ 242, 243, 244, 245, 246, 247, 248, 249 ],
+ "attributes": {
+ "src": "top.v:135"
+ }
+ },
+ "i2c_slave_shreg_o": {
+ "hide_name": 0,
+ "bits": [ 262, 264, 266, 268, 270, 272, 274, 86 ],
+ "attributes": {
+ "src": "top.v:55"
+ }
+ },
+ "i2c_slave_shreg_o_i2cslave_next_value3": {
+ "hide_name": 0,
+ "bits": [ 261, 263, 265, 267, 269, 271, 273, 275 ],
+ "attributes": {
+ "src": "top.v:139"
+ }
+ },
+ "i2c_slave_write": {
+ "hide_name": 0,
+ "bits": [ 76 ],
+ "attributes": {
+ "src": "top.v:38"
+ }
+ },
+ "i2cslave_next_state": {
+ "hide_name": 0,
+ "bits": [ 339, 256, 260 ],
+ "attributes": {
+ "src": "top.v:132"
+ }
+ },
+ "i2cslave_state": {
+ "hide_name": 0,
+ "bits": [ 58, 57, 56 ],
+ "attributes": {
+ "src": "top.v:131"
+ }
+ },
+ "latch_addr": {
+ "hide_name": 0,
+ "bits": [ 75 ],
+ "attributes": {
+ "src": "top.v:143"
+ }
+ },
+ "pix_b": {
+ "hide_name": 0,
+ "bits": [ 224 ],
+ "attributes": {
+ "src": "top.v:129"
+ }
+ },
+ "pix_clk": {
+ "hide_name": 0,
+ "bits": [ 378 ],
+ "attributes": {
+ "src": "top.v:120"
+ }
+ },
+ "pix_g": {
+ "hide_name": 0,
+ "bits": [ 228 ],
+ "attributes": {
+ "src": "top.v:128"
+ }
+ },
+ "pix_r": {
+ "hide_name": 0,
+ "bits": [ 230 ],
+ "attributes": {
+ "src": "top.v:127"
+ }
+ },
+ "pktend_t_registeredtristate0": {
+ "hide_name": 0,
+ "bits": [ 281 ],
+ "attributes": {
+ "src": "top.v:81"
+ }
+ },
+ "pktend_t_registeredtristate1": {
+ "hide_name": 0,
+ "bits": [ 393 ],
+ "attributes": {
+ "src": "top.v:82",
+ "unused_bits": "0"
+ }
+ },
+ "por_clk": {
+ "hide_name": 0,
+ "bits": [ 373 ],
+ "attributes": {
+ "src": "top.v:27"
+ }
+ },
+ "port_a_io": {
+ "hide_name": 0,
+ "bits": [ 23 ],
+ "attributes": {
+ "src": "top.v:13"
+ }
+ },
+ "port_a_io_1": {
+ "hide_name": 0,
+ "bits": [ 24 ],
+ "attributes": {
+ "src": "top.v:15"
+ }
+ },
+ "port_a_io_2": {
+ "hide_name": 0,
+ "bits": [ 25 ],
+ "attributes": {
+ "src": "top.v:17"
+ }
+ },
+ "port_a_io_3": {
+ "hide_name": 0,
+ "bits": [ 26 ],
+ "attributes": {
+ "src": "top.v:19"
+ }
+ },
+ "port_a_io_4": {
+ "hide_name": 0,
+ "bits": [ 27 ],
+ "attributes": {
+ "src": "top.v:21"
+ }
+ },
+ "port_a_oe": {
+ "hide_name": 0,
+ "bits": [ "1" ],
+ "attributes": {
+ "src": "top.v:14"
+ }
+ },
+ "port_a_oe_1": {
+ "hide_name": 0,
+ "bits": [ "1" ],
+ "attributes": {
+ "src": "top.v:16"
+ }
+ },
+ "port_a_oe_2": {
+ "hide_name": 0,
+ "bits": [ "1" ],
+ "attributes": {
+ "src": "top.v:18"
+ }
+ },
+ "port_a_oe_3": {
+ "hide_name": 0,
+ "bits": [ "1" ],
+ "attributes": {
+ "src": "top.v:20"
+ }
+ },
+ "port_a_oe_4": {
+ "hide_name": 0,
+ "bits": [ "1" ],
+ "attributes": {
+ "src": "top.v:22"
+ }
+ },
+ "r": {
+ "hide_name": 0,
+ "bits": [ 381 ],
+ "attributes": {
+ "src": "top.v:117"
+ }
+ },
+ "r_i": {
+ "hide_name": 0,
+ "bits": [ 396 ],
+ "attributes": {
+ "src": "top.v:108",
+ "unused_bits": "0"
+ }
+ },
+ "r_o": {
+ "hide_name": 0,
+ "bits": [ 381 ],
+ "attributes": {
+ "src": "top.v:106"
+ }
+ },
+ "reg_1": {
+ "hide_name": 0,
+ "bits": [ 306 ],
+ "attributes": {
+ "src": "top.v:99"
+ }
+ },
+ "reset": {
+ "hide_name": 0,
+ "bits": [ 306 ],
+ "attributes": {
+ "src": "top.v:130"
+ }
+ },
+ "reset_delay": {
+ "hide_name": 0,
+ "bits": [ 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317 ],
+ "attributes": {
+ "src": "top.v:28"
+ }
+ },
+ "sloe_t_registeredtristate0": {
+ "hide_name": 0,
+ "bits": [ 329 ],
+ "attributes": {
+ "src": "top.v:72"
+ }
+ },
+ "sloe_t_registeredtristate1": {
+ "hide_name": 0,
+ "bits": [ 390 ],
+ "attributes": {
+ "src": "top.v:73",
+ "unused_bits": "0"
+ }
+ },
+ "slrd_t_registeredtristate0": {
+ "hide_name": 0,
+ "bits": [ 96 ],
+ "attributes": {
+ "src": "top.v:75"
+ }
+ },
+ "slrd_t_registeredtristate1": {
+ "hide_name": 0,
+ "bits": [ 391 ],
+ "attributes": {
+ "src": "top.v:76",
+ "unused_bits": "0"
+ }
+ },
+ "slwr_t_registeredtristate1": {
+ "hide_name": 0,
+ "bits": [ 392 ],
+ "attributes": {
+ "src": "top.v:79",
+ "unused_bits": "0"
+ }
+ },
+ "sys_clk": {
+ "hide_name": 0,
+ "bits": [ 373 ],
+ "attributes": {
+ "src": "top.v:25"
+ }
+ },
+ "sys_rst": {
+ "hide_name": 0,
+ "bits": [ 318 ],
+ "attributes": {
+ "src": "top.v:26"
+ }
+ },
+ "tstriple0_i": {
+ "hide_name": 0,
+ "bits": [ 282 ],
+ "attributes": {
+ "src": "top.v:31"
+ }
+ },
+ "tstriple1_i": {
+ "hide_name": 0,
+ "bits": [ 284 ],
+ "attributes": {
+ "src": "top.v:34"
+ }
+ },
+ "tstriple1_oe": {
+ "hide_name": 0,
+ "bits": [ 374 ],
+ "attributes": {
+ "src": "top.v:33"
+ }
+ },
+ "v_ctr": {
+ "hide_name": 0,
+ "bits": [ 166, 168, 165, 167, 158, 162, 163, 164, 159, 161 ],
+ "attributes": {
+ "src": "top.v:122"
+ }
+ },
+ "v_en": {
+ "hide_name": 0,
+ "bits": [ 225 ],
+ "attributes": {
+ "src": "top.v:124"
+ }
+ },
+ "vs": {
+ "hide_name": 0,
+ "bits": [ 380 ],
+ "attributes": {
+ "src": "top.v:116"
+ }
+ },
+ "vs_i": {
+ "hide_name": 0,
+ "bits": [ 395 ],
+ "attributes": {
+ "src": "top.v:105",
+ "unused_bits": "0"
+ }
+ },
+ "vs_o": {
+ "hide_name": 0,
+ "bits": [ 380 ],
+ "attributes": {
+ "src": "top.v:103"
+ }
+ }
+ }
+ }
+ }
+}
diff --git a/ice40/regressions/issue0229/top.npnr b/ice40/regressions/issue0229/top.npnr
new file mode 100644
index 0000000..519b92c
--- /dev/null
+++ b/ice40/regressions/issue0229/top.npnr
@@ -0,0 +1 @@
+--hx8k --package bg121 --pre-pack issue0229/top_pre_pack.py
diff --git a/ice40/regressions/issue0229/top.pcf b/ice40/regressions/issue0229/top.pcf
new file mode 100644
index 0000000..ce7af61
--- /dev/null
+++ b/ice40/regressions/issue0229/top.pcf
@@ -0,0 +1,31 @@
+set_io clk_if K6
+set_io i2c_scl H9
+set_io i2c_sda J8
+set_io fx2_sloe L3
+set_io fx2_slrd J5
+set_io fx2_slwr J4
+set_io fx2_pktend L1
+set_io fx2_fifoadr[0] K3
+set_io fx2_fifoadr[1] L2
+set_io fx2_flag[0] L7
+set_io fx2_flag[1] K5
+set_io fx2_flag[2] L4
+set_io fx2_flag[3] J3
+set_io fx2_fd[0] H7
+set_io fx2_fd[1] J7
+set_io fx2_fd[2] J9
+set_io fx2_fd[3] K10
+set_io fx2_fd[4] L10
+set_io fx2_fd[5] K9
+set_io fx2_fd[6] L8
+set_io fx2_fd[7] K7
+set_io port_a_io A1
+set_io port_a_oe C7
+set_io port_a_io_1 A2
+set_io port_a_oe_1 C8
+set_io port_a_io_2 B3
+set_io port_a_oe_2 D7
+set_io port_a_io_3 A3
+set_io port_a_oe_3 A7
+set_io port_a_io_4 B6
+set_io port_a_oe_4 B8
diff --git a/ice40/regressions/issue0229/top.v b/ice40/regressions/issue0229/top.v
new file mode 100644
index 0000000..7bc842e
--- /dev/null
+++ b/ice40/regressions/issue0229/top.v
@@ -0,0 +1,1318 @@
+/* Machine-generated using Migen */
+module top(
+ inout clk_if,
+ inout i2c_scl,
+ inout i2c_sda,
+ inout fx2_sloe,
+ inout fx2_slrd,
+ inout fx2_slwr,
+ inout fx2_pktend,
+ inout [1:0] fx2_fifoadr,
+ inout [3:0] fx2_flag,
+ inout [7:0] fx2_fd,
+ inout port_a_io,
+ output port_a_oe,
+ inout port_a_io_1,
+ output port_a_oe_1,
+ inout port_a_io_2,
+ output port_a_oe_2,
+ inout port_a_io_3,
+ output port_a_oe_3,
+ inout port_a_io_4,
+ output port_a_oe_4
+);
+
+wire sys_clk;
+wire sys_rst;
+wire por_clk;
+reg [10:0] reset_delay = 11'd2047;
+wire tstriple0_o;
+wire tstriple0_oe;
+wire tstriple0_i;
+wire tstriple1_o;
+wire tstriple1_oe;
+wire tstriple1_i;
+wire [6:0] i2c_slave_address;
+reg i2c_slave_start;
+wire i2c_slave_stop;
+wire i2c_slave_write;
+reg [7:0] i2c_slave_data_i = 8'd0;
+reg i2c_slave_ack_o;
+wire i2c_slave_read;
+wire [7:0] i2c_slave_data_o;
+wire i2c_slave_scl_i;
+reg i2c_slave_scl_o = 1'd1;
+wire i2c_slave_sda_i;
+reg i2c_slave_sda_o = 1'd1;
+wire i2c_slave_bus_sample;
+wire i2c_slave_bus_setup;
+wire i2c_slave_bus_start;
+wire i2c_slave_bus_stop;
+reg i2c_slave_scl_r = 1'd1;
+reg i2c_slave_sda_r = 1'd1;
+reg [2:0] i2c_slave_bitno = 3'd0;
+reg [7:0] i2c_slave_shreg_i = 8'd0;
+reg [7:0] i2c_slave_shreg_o = 8'd0;
+reg i2c_slave_is_el0 = 1'd1;
+wire i2c_slave_is_el1;
+reg i2c_slave_is_el2 = 1'd0;
+wire i2c_slave_is_el3;
+wire i2c_slave_is_el4;
+reg [7:0] registers_address = 8'd0;
+wire fifoadr_t_registeredtristate_oe;
+wire [1:0] fifoadr_t_registeredtristate0;
+wire [1:0] fifoadr_t_registeredtristate1;
+reg flag_t_registeredtristate_oe = 1'd0;
+reg [3:0] flag_t_registeredtristate0 = 4'd0;
+wire [3:0] flag_t_registeredtristate1;
+wire fd_t_registeredtristate_oe;
+wire [7:0] fd_t_registeredtristate0;
+wire [7:0] fd_t_registeredtristate1;
+wire sloe_t_registeredtristate_oe;
+wire sloe_t_registeredtristate0;
+wire sloe_t_registeredtristate1;
+wire slrd_t_registeredtristate_oe;
+wire slrd_t_registeredtristate0;
+wire slrd_t_registeredtristate1;
+wire slwr_t_registeredtristate_oe;
+wire slwr_t_registeredtristate0;
+wire slwr_t_registeredtristate1;
+wire pktend_t_registeredtristate_oe;
+wire pktend_t_registeredtristate0;
+wire pktend_t_registeredtristate1;
+reg dummyfifo0_writable = 1'd0;
+reg fifowithoverflow0_we;
+reg [7:0] fifowithoverflow0_din;
+reg dummyfifo1_writable = 1'd0;
+reg fifowithoverflow1_we;
+reg [7:0] fifowithoverflow1_din;
+reg dummyfifo2_re;
+reg dummyfifo2_readable = 1'd0;
+reg [7:0] dummyfifo2_dout = 8'd0;
+reg fifowithflush0_flushed;
+reg fifowithflush0_queued = 1'd0;
+reg dummyfifo3_re;
+reg dummyfifo3_readable = 1'd0;
+reg [7:0] dummyfifo3_dout = 8'd0;
+reg fifowithflush1_flushed;
+reg fifowithflush1_queued = 1'd0;
+reg reg_1 = 1'd1;
+wire hs_o;
+wire hs_oe;
+wire hs_i;
+wire vs_o;
+wire vs_oe;
+wire vs_i;
+wire r_o;
+wire r_oe;
+wire r_i;
+wire g_o;
+wire g_oe;
+wire g_i;
+wire b_o;
+wire b_oe;
+wire b_i;
+reg hs = 1'd0;
+reg vs = 1'd0;
+reg r = 1'd0;
+reg g = 1'd0;
+reg b = 1'd0;
+wire pix_clk;
+reg [9:0] h_ctr = 10'd0;
+reg [9:0] v_ctr = 10'd0;
+reg h_en = 1'd0;
+reg v_en = 1'd0;
+wire h_stb;
+wire v_stb;
+wire pix_r;
+wire pix_g;
+wire pix_b;
+wire reset;
+reg [2:0] i2cslave_state = 3'd0;
+reg [2:0] i2cslave_next_state;
+reg [2:0] i2c_slave_bitno_i2cslave_next_value0;
+reg i2c_slave_bitno_i2cslave_next_value_ce0;
+reg [7:0] i2c_slave_shreg_i_i2cslave_next_value1;
+reg i2c_slave_shreg_i_i2cslave_next_value_ce1;
+reg i2c_slave_sda_o_i2cslave_next_value2;
+reg i2c_slave_sda_o_i2cslave_next_value_ce2;
+reg [7:0] i2c_slave_shreg_o_i2cslave_next_value3;
+reg i2c_slave_shreg_o_i2cslave_next_value_ce3;
+reg [7:0] i2c_slave_data_i_i2cslave_next_value4;
+reg i2c_slave_data_i_i2cslave_next_value_ce4;
+reg latch_addr = 1'd0;
+wire [3:0] fx2arbiter_flag;
+reg [1:0] fx2arbiter_addr = 2'd0;
+reg fx2arbiter_fdoe = 1'd0;
+reg fx2arbiter_sloe = 1'd0;
+reg fx2arbiter_slrd;
+reg fx2arbiter_slwr;
+reg fx2arbiter_pend;
+wire [3:0] fx2arbiter_rdy;
+reg [1:0] fx2arbiter_naddr;
+reg [2:0] fx2arbiter_state = 3'd0;
+reg [2:0] fx2arbiter_next_state;
+reg fx2arbiter_sloe_fx2arbiter_next_value0;
+reg fx2arbiter_sloe_fx2arbiter_next_value_ce0;
+reg fx2arbiter_fdoe_fx2arbiter_next_value1;
+reg fx2arbiter_fdoe_fx2arbiter_next_value_ce1;
+reg [1:0] fx2arbiter_addr_fx2arbiter_next_value2;
+reg fx2arbiter_addr_fx2arbiter_next_value_ce2;
+reg basiclowerer_array_muxed0;
+wire [7:0] basiclowerer_array_muxed1;
+wire basiclowerer_array_muxed2;
+reg [7:0] basiclowerer_array_muxed3;
+reg cases_array_muxed0;
+reg cases_array_muxed1;
+reg cases_array_muxed2;
+reg cases_array_muxed3;
+reg cases_array_muxed4;
+reg cases_array_muxed5;
+reg basiclowerer_array_muxed4 = 1'd0;
+reg multiregimpl0_regs0 = 1'd1;
+reg multiregimpl0_regs1 = 1'd1;
+reg multiregimpl1_regs0 = 1'd1;
+reg multiregimpl1_regs1 = 1'd1;
+
+// synthesis translate_off
+reg dummy_s;
+initial dummy_s <= 1'd0;
+// synthesis translate_on
+
+assign i2c_slave_address = 4'd8;
+assign por_clk = sys_clk;
+assign sys_rst = (reset_delay != 1'd0);
+assign i2c_slave_stop = i2c_slave_is_el0;
+assign i2c_slave_write = i2c_slave_is_el2;
+assign i2c_slave_read = i2c_slave_is_el4;
+assign tstriple0_o = 1'd0;
+assign tstriple0_oe = (~i2c_slave_scl_o);
+assign tstriple1_o = 1'd0;
+assign tstriple1_oe = (~i2c_slave_sda_o);
+assign i2c_slave_bus_sample = ((~i2c_slave_scl_r) & i2c_slave_scl_i);
+assign i2c_slave_bus_setup = (i2c_slave_scl_r & (~i2c_slave_scl_i));
+assign i2c_slave_bus_start = ((i2c_slave_scl_i & i2c_slave_sda_r) & (~i2c_slave_sda_i));
+assign i2c_slave_bus_stop = ((i2c_slave_scl_i & (~i2c_slave_sda_r)) & i2c_slave_sda_i);
+assign i2c_slave_is_el1 = ((~(i2cslave_state == 1'd0)) & (i2cslave_next_state == 1'd0));
+assign i2c_slave_is_el3 = ((~(i2cslave_state == 3'd5)) & (i2cslave_next_state == 3'd5));
+assign i2c_slave_is_el4 = ((~(i2cslave_state == 3'd6)) & (i2cslave_next_state == 3'd6));
+
+// synthesis translate_off
+reg dummy_d;
+// synthesis translate_on
+always @(*) begin
+ i2c_slave_start <= 1'd0;
+ i2cslave_next_state <= 3'd0;
+ i2c_slave_bitno_i2cslave_next_value0 <= 3'd0;
+ i2c_slave_bitno_i2cslave_next_value_ce0 <= 1'd0;
+ i2c_slave_shreg_i_i2cslave_next_value1 <= 8'd0;
+ i2c_slave_shreg_i_i2cslave_next_value_ce1 <= 1'd0;
+ i2c_slave_sda_o_i2cslave_next_value2 <= 1'd0;
+ i2c_slave_sda_o_i2cslave_next_value_ce2 <= 1'd0;
+ i2c_slave_shreg_o_i2cslave_next_value3 <= 8'd0;
+ i2c_slave_shreg_o_i2cslave_next_value_ce3 <= 1'd0;
+ i2c_slave_data_i_i2cslave_next_value4 <= 8'd0;
+ i2c_slave_data_i_i2cslave_next_value_ce4 <= 1'd0;
+ i2cslave_next_state <= i2cslave_state;
+ case (i2cslave_state)
+ 1'd1: begin
+ if (i2c_slave_bus_stop) begin
+ i2cslave_next_state <= 1'd0;
+ end else begin
+ if (i2c_slave_bus_setup) begin
+ i2c_slave_bitno_i2cslave_next_value0 <= 1'd0;
+ i2c_slave_bitno_i2cslave_next_value_ce0 <= 1'd1;
+ i2cslave_next_state <= 2'd2;
+ end
+ end
+ end
+ 2'd2: begin
+ if (i2c_slave_bus_stop) begin
+ i2cslave_next_state <= 1'd0;
+ end else begin
+ if (i2c_slave_bus_start) begin
+ i2cslave_next_state <= 1'd1;
+ end else begin
+ if (i2c_slave_bus_sample) begin
+ i2c_slave_shreg_i_i2cslave_next_value1 <= ((i2c_slave_shreg_i <<< 1'd1) | i2c_slave_sda_i);
+ i2c_slave_shreg_i_i2cslave_next_value_ce1 <= 1'd1;
+ end else begin
+ if (i2c_slave_bus_setup) begin
+ i2c_slave_bitno_i2cslave_next_value0 <= (i2c_slave_bitno + 1'd1);
+ i2c_slave_bitno_i2cslave_next_value_ce0 <= 1'd1;
+ if ((i2c_slave_bitno == 3'd7)) begin
+ if ((i2c_slave_shreg_i[7:1] == i2c_slave_address)) begin
+ i2c_slave_sda_o_i2cslave_next_value2 <= 1'd0;
+ i2c_slave_sda_o_i2cslave_next_value_ce2 <= 1'd1;
+ i2cslave_next_state <= 2'd3;
+ end else begin
+ i2cslave_next_state <= 1'd0;
+ end
+ end
+ end
+ end
+ end
+ end
+ end
+ 2'd3: begin
+ if (i2c_slave_bus_stop) begin
+ i2cslave_next_state <= 1'd0;
+ end else begin
+ if (i2c_slave_bus_start) begin
+ i2cslave_next_state <= 1'd1;
+ end else begin
+ if (i2c_slave_bus_setup) begin
+ if ((~i2c_slave_shreg_i[0])) begin
+ i2c_slave_start <= 1'd1;
+ i2c_slave_sda_o_i2cslave_next_value2 <= 1'd1;
+ i2c_slave_sda_o_i2cslave_next_value_ce2 <= 1'd1;
+ i2cslave_next_state <= 3'd4;
+ end
+ end else begin
+ if (i2c_slave_bus_sample) begin
+ if (i2c_slave_shreg_i[0]) begin
+ i2c_slave_start <= 1'd1;
+ i2cslave_next_state <= 3'd6;
+ i2c_slave_shreg_o_i2cslave_next_value3 <= i2c_slave_data_o;
+ i2c_slave_shreg_o_i2cslave_next_value_ce3 <= 1'd1;
+ end
+ end
+ end
+ end
+ end
+ end
+ 3'd4: begin
+ if (i2c_slave_bus_stop) begin
+ i2cslave_next_state <= 1'd0;
+ end else begin
+ if (i2c_slave_bus_start) begin
+ i2cslave_next_state <= 1'd1;
+ end else begin
+ if (i2c_slave_bus_sample) begin
+ i2c_slave_shreg_i_i2cslave_next_value1 <= ((i2c_slave_shreg_i <<< 1'd1) | i2c_slave_sda_i);
+ i2c_slave_shreg_i_i2cslave_next_value_ce1 <= 1'd1;
+ end else begin
+ if (i2c_slave_bus_setup) begin
+ i2c_slave_bitno_i2cslave_next_value0 <= (i2c_slave_bitno + 1'd1);
+ i2c_slave_bitno_i2cslave_next_value_ce0 <= 1'd1;
+ if ((i2c_slave_bitno == 3'd7)) begin
+ i2c_slave_data_i_i2cslave_next_value4 <= i2c_slave_shreg_i;
+ i2c_slave_data_i_i2cslave_next_value_ce4 <= 1'd1;
+ i2cslave_next_state <= 3'd5;
+ end
+ end
+ end
+ end
+ end
+ end
+ 3'd5: begin
+ if (i2c_slave_bus_stop) begin
+ i2cslave_next_state <= 1'd0;
+ end else begin
+ if (i2c_slave_bus_start) begin
+ i2cslave_next_state <= 1'd1;
+ end else begin
+ if (((~i2c_slave_scl_i) & i2c_slave_ack_o)) begin
+ i2c_slave_sda_o_i2cslave_next_value2 <= 1'd0;
+ i2c_slave_sda_o_i2cslave_next_value_ce2 <= 1'd1;
+ end else begin
+ if (i2c_slave_bus_setup) begin
+ i2c_slave_sda_o_i2cslave_next_value2 <= 1'd1;
+ i2c_slave_sda_o_i2cslave_next_value_ce2 <= 1'd1;
+ i2cslave_next_state <= 3'd4;
+ end
+ end
+ end
+ end
+ end
+ 3'd6: begin
+ if (i2c_slave_bus_stop) begin
+ i2cslave_next_state <= 1'd0;
+ end else begin
+ if (i2c_slave_bus_start) begin
+ i2cslave_next_state <= 1'd1;
+ end else begin
+ if (i2c_slave_bus_setup) begin
+ i2c_slave_bitno_i2cslave_next_value0 <= (i2c_slave_bitno + 1'd1);
+ i2c_slave_bitno_i2cslave_next_value_ce0 <= 1'd1;
+ i2c_slave_sda_o_i2cslave_next_value2 <= i2c_slave_shreg_o[7];
+ i2c_slave_sda_o_i2cslave_next_value_ce2 <= 1'd1;
+ i2c_slave_shreg_o_i2cslave_next_value3 <= (i2c_slave_shreg_o <<< 1'd1);
+ i2c_slave_shreg_o_i2cslave_next_value_ce3 <= 1'd1;
+ end else begin
+ if (i2c_slave_bus_sample) begin
+ if ((i2c_slave_bitno == 1'd0)) begin
+ i2c_slave_sda_o_i2cslave_next_value2 <= 1'd1;
+ i2c_slave_sda_o_i2cslave_next_value_ce2 <= 1'd1;
+ i2cslave_next_state <= 3'd7;
+ end
+ end
+ end
+ end
+ end
+ end
+ 3'd7: begin
+ if (i2c_slave_bus_stop) begin
+ i2cslave_next_state <= 1'd0;
+ end else begin
+ if (i2c_slave_bus_start) begin
+ i2cslave_next_state <= 1'd1;
+ end else begin
+ if (i2c_slave_bus_sample) begin
+ if ((~i2c_slave_sda_i)) begin
+ i2cslave_next_state <= 3'd6;
+ end else begin
+ i2cslave_next_state <= 1'd0;
+ end
+ end
+ end
+ end
+ end
+ default: begin
+ if (i2c_slave_bus_start) begin
+ i2cslave_next_state <= 1'd1;
+ end
+ end
+ endcase
+// synthesis translate_off
+ dummy_d <= dummy_s;
+// synthesis translate_on
+end
+assign i2c_slave_data_o = basiclowerer_array_muxed0;
+
+// synthesis translate_off
+reg dummy_d_1;
+// synthesis translate_on
+always @(*) begin
+ i2c_slave_ack_o <= 1'd0;
+ if (i2c_slave_write) begin
+ if ((latch_addr & (i2c_slave_data_i < 1'd1))) begin
+ i2c_slave_ack_o <= 1'd1;
+ end else begin
+ if ((~latch_addr)) begin
+ i2c_slave_ack_o <= 1'd1;
+ end
+ end
+ end
+// synthesis translate_off
+ dummy_d_1 <= dummy_s;
+// synthesis translate_on
+end
+assign fifoadr_t_registeredtristate_oe = 1'd1;
+assign fifoadr_t_registeredtristate0 = fx2arbiter_addr;
+assign fx2arbiter_flag = flag_t_registeredtristate1;
+assign fx2arbiter_rdy = ({(dummyfifo3_readable | fifowithflush1_queued), (dummyfifo2_readable | fifowithflush0_queued), dummyfifo1_writable, dummyfifo0_writable} & fx2arbiter_flag);
+assign basiclowerer_array_muxed1 = fd_t_registeredtristate1;
+
+// synthesis translate_off
+reg dummy_d_2;
+// synthesis translate_on
+always @(*) begin
+ fifowithoverflow0_din <= 8'd0;
+ fifowithoverflow1_din <= 8'd0;
+ case (fx2arbiter_addr[0])
+ 1'd0: begin
+ fifowithoverflow0_din <= basiclowerer_array_muxed1;
+ end
+ default: begin
+ fifowithoverflow1_din <= basiclowerer_array_muxed1;
+ end
+ endcase
+// synthesis translate_off
+ dummy_d_2 <= dummy_s;
+// synthesis translate_on
+end
+assign basiclowerer_array_muxed2 = fx2arbiter_pend;
+
+// synthesis translate_off
+reg dummy_d_3;
+// synthesis translate_on
+always @(*) begin
+ fifowithflush0_flushed <= 1'd0;
+ fifowithflush1_flushed <= 1'd0;
+ case (fx2arbiter_addr[0])
+ 1'd0: begin
+ fifowithflush0_flushed <= basiclowerer_array_muxed2;
+ end
+ default: begin
+ fifowithflush1_flushed <= basiclowerer_array_muxed2;
+ end
+ endcase
+// synthesis translate_off
+ dummy_d_3 <= dummy_s;
+// synthesis translate_on
+end
+assign fd_t_registeredtristate0 = basiclowerer_array_muxed3;
+assign fd_t_registeredtristate_oe = fx2arbiter_fdoe;
+assign sloe_t_registeredtristate_oe = 1'd1;
+assign sloe_t_registeredtristate0 = (~fx2arbiter_sloe);
+assign slrd_t_registeredtristate_oe = 1'd1;
+assign slrd_t_registeredtristate0 = (~fx2arbiter_slrd);
+assign slwr_t_registeredtristate_oe = 1'd1;
+assign slwr_t_registeredtristate0 = (~fx2arbiter_slwr);
+assign pktend_t_registeredtristate_oe = 1'd1;
+assign pktend_t_registeredtristate0 = (~fx2arbiter_pend);
+
+// synthesis translate_off
+reg dummy_d_4;
+// synthesis translate_on
+always @(*) begin
+ fx2arbiter_naddr <= 2'd0;
+ case ({fx2arbiter_addr, fx2arbiter_rdy})
+ 1'd0: begin
+ fx2arbiter_naddr <= 1'd1;
+ end
+ 1'd1: begin
+ fx2arbiter_naddr <= 1'd0;
+ end
+ 2'd2: begin
+ fx2arbiter_naddr <= 1'd1;
+ end
+ 2'd3: begin
+ fx2arbiter_naddr <= 1'd0;
+ end
+ 3'd4: begin
+ fx2arbiter_naddr <= 2'd2;
+ end
+ 3'd5: begin
+ fx2arbiter_naddr <= 1'd0;
+ end
+ 3'd6: begin
+ fx2arbiter_naddr <= 1'd1;
+ end
+ 3'd7: begin
+ fx2arbiter_naddr <= 1'd0;
+ end
+ 4'd8: begin
+ fx2arbiter_naddr <= 2'd3;
+ end
+ 4'd9: begin
+ fx2arbiter_naddr <= 1'd0;
+ end
+ 4'd10: begin
+ fx2arbiter_naddr <= 1'd1;
+ end
+ 4'd11: begin
+ fx2arbiter_naddr <= 1'd0;
+ end
+ 4'd12: begin
+ fx2arbiter_naddr <= 2'd2;
+ end
+ 4'd13: begin
+ fx2arbiter_naddr <= 1'd0;
+ end
+ 4'd14: begin
+ fx2arbiter_naddr <= 1'd1;
+ end
+ 4'd15: begin
+ fx2arbiter_naddr <= 1'd0;
+ end
+ 5'd16: begin
+ fx2arbiter_naddr <= 2'd2;
+ end
+ 5'd17: begin
+ fx2arbiter_naddr <= 1'd0;
+ end
+ 5'd18: begin
+ fx2arbiter_naddr <= 1'd1;
+ end
+ 5'd19: begin
+ fx2arbiter_naddr <= 1'd1;
+ end
+ 5'd20: begin
+ fx2arbiter_naddr <= 2'd2;
+ end
+ 5'd21: begin
+ fx2arbiter_naddr <= 2'd2;
+ end
+ 5'd22: begin
+ fx2arbiter_naddr <= 1'd1;
+ end
+ 5'd23: begin
+ fx2arbiter_naddr <= 1'd1;
+ end
+ 5'd24: begin
+ fx2arbiter_naddr <= 2'd3;
+ end
+ 5'd25: begin
+ fx2arbiter_naddr <= 2'd3;
+ end
+ 5'd26: begin
+ fx2arbiter_naddr <= 1'd1;
+ end
+ 5'd27: begin
+ fx2arbiter_naddr <= 1'd1;
+ end
+ 5'd28: begin
+ fx2arbiter_naddr <= 2'd2;
+ end
+ 5'd29: begin
+ fx2arbiter_naddr <= 2'd2;
+ end
+ 5'd30: begin
+ fx2arbiter_naddr <= 1'd1;
+ end
+ 5'd31: begin
+ fx2arbiter_naddr <= 1'd1;
+ end
+ 6'd32: begin
+ fx2arbiter_naddr <= 2'd3;
+ end
+ 6'd33: begin
+ fx2arbiter_naddr <= 1'd0;
+ end
+ 6'd34: begin
+ fx2arbiter_naddr <= 1'd1;
+ end
+ 6'd35: begin
+ fx2arbiter_naddr <= 1'd0;
+ end
+ 6'd36: begin
+ fx2arbiter_naddr <= 2'd2;
+ end
+ 6'd37: begin
+ fx2arbiter_naddr <= 2'd2;
+ end
+ 6'd38: begin
+ fx2arbiter_naddr <= 2'd2;
+ end
+ 6'd39: begin
+ fx2arbiter_naddr <= 2'd2;
+ end
+ 6'd40: begin
+ fx2arbiter_naddr <= 2'd3;
+ end
+ 6'd41: begin
+ fx2arbiter_naddr <= 2'd3;
+ end
+ 6'd42: begin
+ fx2arbiter_naddr <= 2'd3;
+ end
+ 6'd43: begin
+ fx2arbiter_naddr <= 2'd3;
+ end
+ 6'd44: begin
+ fx2arbiter_naddr <= 2'd2;
+ end
+ 6'd45: begin
+ fx2arbiter_naddr <= 2'd2;
+ end
+ 6'd46: begin
+ fx2arbiter_naddr <= 2'd2;
+ end
+ 6'd47: begin
+ fx2arbiter_naddr <= 2'd2;
+ end
+ 6'd48: begin
+ fx2arbiter_naddr <= 1'd0;
+ end
+ 6'd49: begin
+ fx2arbiter_naddr <= 1'd0;
+ end
+ 6'd50: begin
+ fx2arbiter_naddr <= 1'd1;
+ end
+ 6'd51: begin
+ fx2arbiter_naddr <= 1'd0;
+ end
+ 6'd52: begin
+ fx2arbiter_naddr <= 2'd2;
+ end
+ 6'd53: begin
+ fx2arbiter_naddr <= 1'd0;
+ end
+ 6'd54: begin
+ fx2arbiter_naddr <= 1'd1;
+ end
+ 6'd55: begin
+ fx2arbiter_naddr <= 1'd0;
+ end
+ 6'd56: begin
+ fx2arbiter_naddr <= 2'd3;
+ end
+ 6'd57: begin
+ fx2arbiter_naddr <= 2'd3;
+ end
+ 6'd58: begin
+ fx2arbiter_naddr <= 2'd3;
+ end
+ 6'd59: begin
+ fx2arbiter_naddr <= 2'd3;
+ end
+ 6'd60: begin
+ fx2arbiter_naddr <= 2'd3;
+ end
+ 6'd61: begin
+ fx2arbiter_naddr <= 2'd3;
+ end
+ 6'd62: begin
+ fx2arbiter_naddr <= 2'd3;
+ end
+ 6'd63: begin
+ fx2arbiter_naddr <= 2'd3;
+ end
+ endcase
+// synthesis translate_off
+ dummy_d_4 <= dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_5;
+// synthesis translate_on
+always @(*) begin
+ fifowithoverflow0_we <= 1'd0;
+ fifowithoverflow1_we <= 1'd0;
+ dummyfifo2_re <= 1'd0;
+ dummyfifo3_re <= 1'd0;
+ fx2arbiter_slrd <= 1'd0;
+ fx2arbiter_slwr <= 1'd0;
+ fx2arbiter_pend <= 1'd0;
+ fx2arbiter_next_state <= 3'd0;
+ fx2arbiter_sloe_fx2arbiter_next_value0 <= 1'd0;
+ fx2arbiter_sloe_fx2arbiter_next_value_ce0 <= 1'd0;
+ fx2arbiter_fdoe_fx2arbiter_next_value1 <= 1'd0;
+ fx2arbiter_fdoe_fx2arbiter_next_value_ce1 <= 1'd0;
+ fx2arbiter_addr_fx2arbiter_next_value2 <= 2'd0;
+ fx2arbiter_addr_fx2arbiter_next_value_ce2 <= 1'd0;
+ cases_array_muxed1 <= 1'd0;
+ cases_array_muxed4 <= 1'd0;
+ fx2arbiter_next_state <= fx2arbiter_state;
+ case (fx2arbiter_state)
+ 1'd1: begin
+ if (fx2arbiter_addr[1]) begin
+ fx2arbiter_fdoe_fx2arbiter_next_value1 <= 1'd1;
+ fx2arbiter_fdoe_fx2arbiter_next_value_ce1 <= 1'd1;
+ end else begin
+ fx2arbiter_sloe_fx2arbiter_next_value0 <= 1'd1;
+ fx2arbiter_sloe_fx2arbiter_next_value_ce0 <= 1'd1;
+ end
+ fx2arbiter_next_state <= 2'd2;
+ end
+ 2'd2: begin
+ if (fx2arbiter_addr[1]) begin
+ fx2arbiter_next_state <= 2'd3;
+ end else begin
+ fx2arbiter_next_state <= 3'd6;
+ end
+ end
+ 2'd3: begin
+ fx2arbiter_next_state <= 3'd4;
+ end
+ 3'd4: begin
+ if ((fx2arbiter_flag[fx2arbiter_addr+:1] & cases_array_muxed0)) begin
+ cases_array_muxed1 <= 1'd1;
+ case (fx2arbiter_addr[0])
+ 1'd0: begin
+ dummyfifo2_re <= cases_array_muxed1;
+ end
+ default: begin
+ dummyfifo3_re <= cases_array_muxed1;
+ end
+ endcase
+ fx2arbiter_slwr <= 1'd1;
+ end else begin
+ if (((~fx2arbiter_flag[fx2arbiter_addr+:1]) & (~cases_array_muxed2))) begin
+ fx2arbiter_next_state <= 3'd5;
+ end else begin
+ if ((fx2arbiter_flag[fx2arbiter_addr+:1] & cases_array_muxed3)) begin
+ fx2arbiter_next_state <= 3'd5;
+ end else begin
+ fx2arbiter_next_state <= 1'd0;
+ end
+ end
+ end
+ end
+ 3'd5: begin
+ fx2arbiter_pend <= 1'd1;
+ fx2arbiter_next_state <= 1'd0;
+ end
+ 3'd6: begin
+ fx2arbiter_slrd <= 1'd1;
+ fx2arbiter_next_state <= 3'd7;
+ end
+ 3'd7: begin
+ cases_array_muxed4 <= fx2arbiter_flag[fx2arbiter_addr+:1];
+ case (fx2arbiter_addr[0])
+ 1'd0: begin
+ fifowithoverflow0_we <= cases_array_muxed4;
+ end
+ default: begin
+ fifowithoverflow1_we <= cases_array_muxed4;
+ end
+ endcase
+ if (fx2arbiter_rdy[fx2arbiter_addr+:1]) begin
+ fx2arbiter_slrd <= cases_array_muxed5;
+ end else begin
+ fx2arbiter_next_state <= 1'd0;
+ end
+ end
+ default: begin
+ fx2arbiter_sloe_fx2arbiter_next_value0 <= 1'd0;
+ fx2arbiter_sloe_fx2arbiter_next_value_ce0 <= 1'd1;
+ fx2arbiter_fdoe_fx2arbiter_next_value1 <= 1'd0;
+ fx2arbiter_fdoe_fx2arbiter_next_value_ce1 <= 1'd1;
+ fx2arbiter_addr_fx2arbiter_next_value2 <= fx2arbiter_naddr;
+ fx2arbiter_addr_fx2arbiter_next_value_ce2 <= 1'd1;
+ if (fx2arbiter_rdy) begin
+ fx2arbiter_next_state <= 1'd1;
+ end
+ end
+ endcase
+// synthesis translate_off
+ dummy_d_5 <= dummy_s;
+// synthesis translate_on
+end
+assign port_a_oe = hs_oe;
+assign port_a_oe_1 = vs_oe;
+assign port_a_oe_2 = r_oe;
+assign port_a_oe_3 = g_oe;
+assign port_a_oe_4 = b_oe;
+assign h_stb = (h_ctr == 10'd640);
+assign v_stb = (h_stb & (v_ctr == 9'd480));
+assign reset = reg_1;
+assign {pix_b, pix_g, pix_r} = (h_ctr[9:5] + v_ctr[9:5]);
+assign hs_oe = 1'd1;
+assign hs_o = hs;
+assign vs_oe = 1'd1;
+assign vs_o = vs;
+assign r_oe = 1'd1;
+assign r_o = r;
+assign g_oe = 1'd1;
+assign g_o = g;
+assign b_oe = 1'd1;
+assign b_o = b;
+
+// synthesis translate_off
+reg dummy_d_6;
+// synthesis translate_on
+always @(*) begin
+ basiclowerer_array_muxed0 <= 1'd0;
+ case (registers_address)
+ default: begin
+ basiclowerer_array_muxed0 <= reg_1;
+ end
+ endcase
+// synthesis translate_off
+ dummy_d_6 <= dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_7;
+// synthesis translate_on
+always @(*) begin
+ basiclowerer_array_muxed3 <= 8'd0;
+ case (fx2arbiter_addr[0])
+ 1'd0: begin
+ basiclowerer_array_muxed3 <= dummyfifo2_dout;
+ end
+ default: begin
+ basiclowerer_array_muxed3 <= dummyfifo3_dout;
+ end
+ endcase
+// synthesis translate_off
+ dummy_d_7 <= dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_8;
+// synthesis translate_on
+always @(*) begin
+ cases_array_muxed0 <= 1'd0;
+ case (fx2arbiter_addr[0])
+ 1'd0: begin
+ cases_array_muxed0 <= dummyfifo2_readable;
+ end
+ default: begin
+ cases_array_muxed0 <= dummyfifo3_readable;
+ end
+ endcase
+// synthesis translate_off
+ dummy_d_8 <= dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_9;
+// synthesis translate_on
+always @(*) begin
+ cases_array_muxed2 <= 1'd0;
+ case (fx2arbiter_addr[0])
+ 1'd0: begin
+ cases_array_muxed2 <= dummyfifo2_readable;
+ end
+ default: begin
+ cases_array_muxed2 <= dummyfifo3_readable;
+ end
+ endcase
+// synthesis translate_off
+ dummy_d_9 <= dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_10;
+// synthesis translate_on
+always @(*) begin
+ cases_array_muxed3 <= 1'd0;
+ case (fx2arbiter_addr[0])
+ 1'd0: begin
+ cases_array_muxed3 <= fifowithflush0_queued;
+ end
+ default: begin
+ cases_array_muxed3 <= fifowithflush1_queued;
+ end
+ endcase
+// synthesis translate_off
+ dummy_d_10 <= dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_11;
+// synthesis translate_on
+always @(*) begin
+ cases_array_muxed5 <= 1'd0;
+ case (fx2arbiter_addr[0])
+ 1'd0: begin
+ cases_array_muxed5 <= dummyfifo0_writable;
+ end
+ default: begin
+ cases_array_muxed5 <= dummyfifo1_writable;
+ end
+ endcase
+// synthesis translate_off
+ dummy_d_11 <= dummy_s;
+// synthesis translate_on
+end
+assign i2c_slave_scl_i = multiregimpl0_regs1;
+assign i2c_slave_sda_i = multiregimpl1_regs1;
+
+always @(posedge pix_clk) begin
+ if ((h_ctr == 10'd799)) begin
+ if ((v_ctr == 10'd524)) begin
+ v_ctr <= 1'd0;
+ end else begin
+ v_ctr <= (v_ctr + 1'd1);
+ end
+ h_ctr <= 1'd0;
+ end else begin
+ h_ctr <= (h_ctr + 1'd1);
+ end
+ if ((h_ctr == 1'd0)) begin
+ h_en <= 1'd1;
+ end else begin
+ if ((h_ctr == 10'd640)) begin
+ h_en <= 1'd0;
+ end else begin
+ if ((h_ctr == 10'd656)) begin
+ hs <= 1'd1;
+ end else begin
+ if ((h_ctr == 10'd752)) begin
+ hs <= 1'd0;
+ end
+ end
+ end
+ end
+ if ((v_ctr == 1'd0)) begin
+ v_en <= 1'd1;
+ end else begin
+ if ((v_ctr == 9'd480)) begin
+ v_en <= 1'd0;
+ end else begin
+ if ((v_ctr == 9'd490)) begin
+ vs <= 1'd1;
+ end else begin
+ if ((v_ctr == 9'd492)) begin
+ vs <= 1'd0;
+ end
+ end
+ end
+ end
+ if ((v_en & h_en)) begin
+ r <= pix_r;
+ g <= pix_g;
+ b <= pix_b;
+ end else begin
+ r <= 1'd0;
+ g <= 1'd0;
+ b <= 1'd0;
+ end
+ if (reset) begin
+ hs <= 1'd0;
+ vs <= 1'd0;
+ r <= 1'd0;
+ g <= 1'd0;
+ b <= 1'd0;
+ h_ctr <= 10'd0;
+ v_ctr <= 10'd0;
+ h_en <= 1'd0;
+ v_en <= 1'd0;
+ end
+end
+
+always @(posedge por_clk) begin
+ if ((reset_delay != 1'd0)) begin
+ reset_delay <= (reset_delay - 1'd1);
+ end
+end
+
+always @(posedge sys_clk) begin
+ i2c_slave_scl_r <= i2c_slave_scl_i;
+ i2c_slave_sda_r <= i2c_slave_sda_i;
+ i2c_slave_is_el0 <= i2c_slave_is_el1;
+ i2c_slave_is_el2 <= i2c_slave_is_el3;
+ i2cslave_state <= i2cslave_next_state;
+ if (i2c_slave_bitno_i2cslave_next_value_ce0) begin
+ i2c_slave_bitno <= i2c_slave_bitno_i2cslave_next_value0;
+ end
+ if (i2c_slave_shreg_i_i2cslave_next_value_ce1) begin
+ i2c_slave_shreg_i <= i2c_slave_shreg_i_i2cslave_next_value1;
+ end
+ if (i2c_slave_sda_o_i2cslave_next_value_ce2) begin
+ i2c_slave_sda_o <= i2c_slave_sda_o_i2cslave_next_value2;
+ end
+ if (i2c_slave_shreg_o_i2cslave_next_value_ce3) begin
+ i2c_slave_shreg_o <= i2c_slave_shreg_o_i2cslave_next_value3;
+ end
+ if (i2c_slave_data_i_i2cslave_next_value_ce4) begin
+ i2c_slave_data_i <= i2c_slave_data_i_i2cslave_next_value4;
+ end
+ if (i2c_slave_start) begin
+ latch_addr <= 1'd1;
+ end
+ if (i2c_slave_write) begin
+ if (latch_addr) begin
+ if ((i2c_slave_data_i < 1'd1)) begin
+ latch_addr <= 1'd0;
+ registers_address <= i2c_slave_data_i;
+ end
+ end else begin
+ basiclowerer_array_muxed4 = i2c_slave_data_i;
+ case (registers_address)
+ default: begin
+ reg_1 <= basiclowerer_array_muxed4;
+ end
+ endcase
+ end
+ end
+ fx2arbiter_state <= fx2arbiter_next_state;
+ if (fx2arbiter_sloe_fx2arbiter_next_value_ce0) begin
+ fx2arbiter_sloe <= fx2arbiter_sloe_fx2arbiter_next_value0;
+ end
+ if (fx2arbiter_fdoe_fx2arbiter_next_value_ce1) begin
+ fx2arbiter_fdoe <= fx2arbiter_fdoe_fx2arbiter_next_value1;
+ end
+ if (fx2arbiter_addr_fx2arbiter_next_value_ce2) begin
+ fx2arbiter_addr <= fx2arbiter_addr_fx2arbiter_next_value2;
+ end
+ if (sys_rst) begin
+ i2c_slave_data_i <= 8'd0;
+ i2c_slave_sda_o <= 1'd1;
+ i2c_slave_scl_r <= 1'd1;
+ i2c_slave_sda_r <= 1'd1;
+ i2c_slave_bitno <= 3'd0;
+ i2c_slave_shreg_i <= 8'd0;
+ i2c_slave_shreg_o <= 8'd0;
+ i2c_slave_is_el0 <= 1'd1;
+ i2c_slave_is_el2 <= 1'd0;
+ registers_address <= 8'd0;
+ reg_1 <= 1'd1;
+ i2cslave_state <= 3'd0;
+ latch_addr <= 1'd0;
+ fx2arbiter_addr <= 2'd0;
+ fx2arbiter_fdoe <= 1'd0;
+ fx2arbiter_sloe <= 1'd0;
+ fx2arbiter_state <= 3'd0;
+ end
+ multiregimpl0_regs0 <= tstriple0_i;
+ multiregimpl0_regs1 <= multiregimpl0_regs0;
+ multiregimpl1_regs0 <= tstriple1_i;
+ multiregimpl1_regs1 <= multiregimpl1_regs0;
+end
+
+SB_GB_IO #(
+ .PIN_TYPE(6'd1)
+) SB_GB_IO (
+ .PACKAGE_PIN(clk_if),
+ .GLOBAL_BUFFER_OUTPUT(sys_clk)
+);
+
+SB_IO #(
+ .PIN_TYPE(6'd52)
+) SB_IO (
+ .D_OUT_0(fifoadr_t_registeredtristate0[0]),
+ .INPUT_CLK(sys_clk),
+ .OUTPUT_CLK(sys_clk),
+ .OUTPUT_ENABLE(fifoadr_t_registeredtristate_oe),
+ .PACKAGE_PIN(fx2_fifoadr[0]),
+ .D_IN_1(fifoadr_t_registeredtristate1[0])
+);
+
+SB_IO #(
+ .PIN_TYPE(6'd52)
+) SB_IO_1 (
+ .D_OUT_0(fifoadr_t_registeredtristate0[1]),
+ .INPUT_CLK(sys_clk),
+ .OUTPUT_CLK(sys_clk),
+ .OUTPUT_ENABLE(fifoadr_t_registeredtristate_oe),
+ .PACKAGE_PIN(fx2_fifoadr[1]),
+ .D_IN_1(fifoadr_t_registeredtristate1[1])
+);
+
+SB_IO #(
+ .PIN_TYPE(6'd52)
+) SB_IO_2 (
+ .D_OUT_0(flag_t_registeredtristate0[0]),
+ .INPUT_CLK(sys_clk),
+ .OUTPUT_CLK(sys_clk),
+ .OUTPUT_ENABLE(flag_t_registeredtristate_oe),
+ .PACKAGE_PIN(fx2_flag[0]),
+ .D_IN_1(flag_t_registeredtristate1[0])
+);
+
+SB_IO #(
+ .PIN_TYPE(6'd52)
+) SB_IO_3 (
+ .D_OUT_0(flag_t_registeredtristate0[1]),
+ .INPUT_CLK(sys_clk),
+ .OUTPUT_CLK(sys_clk),
+ .OUTPUT_ENABLE(flag_t_registeredtristate_oe),
+ .PACKAGE_PIN(fx2_flag[1]),
+ .D_IN_1(flag_t_registeredtristate1[1])
+);
+
+SB_IO #(
+ .PIN_TYPE(6'd52)
+) SB_IO_4 (
+ .D_OUT_0(flag_t_registeredtristate0[2]),
+ .INPUT_CLK(sys_clk),
+ .OUTPUT_CLK(sys_clk),
+ .OUTPUT_ENABLE(flag_t_registeredtristate_oe),
+ .PACKAGE_PIN(fx2_flag[2]),
+ .D_IN_1(flag_t_registeredtristate1[2])
+);
+
+SB_IO #(
+ .PIN_TYPE(6'd52)
+) SB_IO_5 (
+ .D_OUT_0(flag_t_registeredtristate0[3]),
+ .INPUT_CLK(sys_clk),
+ .OUTPUT_CLK(sys_clk),
+ .OUTPUT_ENABLE(flag_t_registeredtristate_oe),
+ .PACKAGE_PIN(fx2_flag[3]),
+ .D_IN_1(flag_t_registeredtristate1[3])
+);
+
+SB_IO #(
+ .PIN_TYPE(6'd52)
+) SB_IO_6 (
+ .D_OUT_0(fd_t_registeredtristate0[0]),
+ .INPUT_CLK(sys_clk),
+ .OUTPUT_CLK(sys_clk),
+ .OUTPUT_ENABLE(fd_t_registeredtristate_oe),
+ .PACKAGE_PIN(fx2_fd[0]),
+ .D_IN_1(fd_t_registeredtristate1[0])
+);
+
+SB_IO #(
+ .PIN_TYPE(6'd52)
+) SB_IO_7 (
+ .D_OUT_0(fd_t_registeredtristate0[1]),
+ .INPUT_CLK(sys_clk),
+ .OUTPUT_CLK(sys_clk),
+ .OUTPUT_ENABLE(fd_t_registeredtristate_oe),
+ .PACKAGE_PIN(fx2_fd[1]),
+ .D_IN_1(fd_t_registeredtristate1[1])
+);
+
+SB_IO #(
+ .PIN_TYPE(6'd52)
+) SB_IO_8 (
+ .D_OUT_0(fd_t_registeredtristate0[2]),
+ .INPUT_CLK(sys_clk),
+ .OUTPUT_CLK(sys_clk),
+ .OUTPUT_ENABLE(fd_t_registeredtristate_oe),
+ .PACKAGE_PIN(fx2_fd[2]),
+ .D_IN_1(fd_t_registeredtristate1[2])
+);
+
+SB_IO #(
+ .PIN_TYPE(6'd52)
+) SB_IO_9 (
+ .D_OUT_0(fd_t_registeredtristate0[3]),
+ .INPUT_CLK(sys_clk),
+ .OUTPUT_CLK(sys_clk),
+ .OUTPUT_ENABLE(fd_t_registeredtristate_oe),
+ .PACKAGE_PIN(fx2_fd[3]),
+ .D_IN_1(fd_t_registeredtristate1[3])
+);
+
+SB_IO #(
+ .PIN_TYPE(6'd52)
+) SB_IO_10 (
+ .D_OUT_0(fd_t_registeredtristate0[4]),
+ .INPUT_CLK(sys_clk),
+ .OUTPUT_CLK(sys_clk),
+ .OUTPUT_ENABLE(fd_t_registeredtristate_oe),
+ .PACKAGE_PIN(fx2_fd[4]),
+ .D_IN_1(fd_t_registeredtristate1[4])
+);
+
+SB_IO #(
+ .PIN_TYPE(6'd52)
+) SB_IO_11 (
+ .D_OUT_0(fd_t_registeredtristate0[5]),
+ .INPUT_CLK(sys_clk),
+ .OUTPUT_CLK(sys_clk),
+ .OUTPUT_ENABLE(fd_t_registeredtristate_oe),
+ .PACKAGE_PIN(fx2_fd[5]),
+ .D_IN_1(fd_t_registeredtristate1[5])
+);
+
+SB_IO #(
+ .PIN_TYPE(6'd52)
+) SB_IO_12 (
+ .D_OUT_0(fd_t_registeredtristate0[6]),
+ .INPUT_CLK(sys_clk),
+ .OUTPUT_CLK(sys_clk),
+ .OUTPUT_ENABLE(fd_t_registeredtristate_oe),
+ .PACKAGE_PIN(fx2_fd[6]),
+ .D_IN_1(fd_t_registeredtristate1[6])
+);
+
+SB_IO #(
+ .PIN_TYPE(6'd52)
+) SB_IO_13 (
+ .D_OUT_0(fd_t_registeredtristate0[7]),
+ .INPUT_CLK(sys_clk),
+ .OUTPUT_CLK(sys_clk),
+ .OUTPUT_ENABLE(fd_t_registeredtristate_oe),
+ .PACKAGE_PIN(fx2_fd[7]),
+ .D_IN_1(fd_t_registeredtristate1[7])
+);
+
+SB_IO #(
+ .PIN_TYPE(6'd52)
+) SB_IO_14 (
+ .D_OUT_0(sloe_t_registeredtristate0),
+ .INPUT_CLK(sys_clk),
+ .OUTPUT_CLK(sys_clk),
+ .OUTPUT_ENABLE(sloe_t_registeredtristate_oe),
+ .PACKAGE_PIN(fx2_sloe),
+ .D_IN_1(sloe_t_registeredtristate1)
+);
+
+SB_IO #(
+ .PIN_TYPE(6'd52)
+) SB_IO_15 (
+ .D_OUT_0(slrd_t_registeredtristate0),
+ .INPUT_CLK(sys_clk),
+ .OUTPUT_CLK(sys_clk),
+ .OUTPUT_ENABLE(slrd_t_registeredtristate_oe),
+ .PACKAGE_PIN(fx2_slrd),
+ .D_IN_1(slrd_t_registeredtristate1)
+);
+
+SB_IO #(
+ .PIN_TYPE(6'd52)
+) SB_IO_16 (
+ .D_OUT_0(slwr_t_registeredtristate0),
+ .INPUT_CLK(sys_clk),
+ .OUTPUT_CLK(sys_clk),
+ .OUTPUT_ENABLE(slwr_t_registeredtristate_oe),
+ .PACKAGE_PIN(fx2_slwr),
+ .D_IN_1(slwr_t_registeredtristate1)
+);
+
+SB_IO #(
+ .PIN_TYPE(6'd52)
+) SB_IO_17 (
+ .D_OUT_0(pktend_t_registeredtristate0),
+ .INPUT_CLK(sys_clk),
+ .OUTPUT_CLK(sys_clk),
+ .OUTPUT_ENABLE(pktend_t_registeredtristate_oe),
+ .PACKAGE_PIN(fx2_pktend),
+ .D_IN_1(pktend_t_registeredtristate1)
+);
+
+SB_IO #(
+ .PIN_TYPE(6'd41)
+) SB_IO_18 (
+ .D_OUT_0(hs_o),
+ .OUTPUT_ENABLE(hs_oe),
+ .PACKAGE_PIN(port_a_io),
+ .D_IN_0(hs_i)
+);
+
+SB_IO #(
+ .PIN_TYPE(6'd41)
+) SB_IO_19 (
+ .D_OUT_0(vs_o),
+ .OUTPUT_ENABLE(vs_oe),
+ .PACKAGE_PIN(port_a_io_1),
+ .D_IN_0(vs_i)
+);
+
+SB_IO #(
+ .PIN_TYPE(6'd41)
+) SB_IO_20 (
+ .D_OUT_0(r_o),
+ .OUTPUT_ENABLE(r_oe),
+ .PACKAGE_PIN(port_a_io_2),
+ .D_IN_0(r_i)
+);
+
+SB_IO #(
+ .PIN_TYPE(6'd41)
+) SB_IO_21 (
+ .D_OUT_0(g_o),
+ .OUTPUT_ENABLE(g_oe),
+ .PACKAGE_PIN(port_a_io_3),
+ .D_IN_0(g_i)
+);
+
+SB_IO #(
+ .PIN_TYPE(6'd41)
+) SB_IO_22 (
+ .D_OUT_0(b_o),
+ .OUTPUT_ENABLE(b_oe),
+ .PACKAGE_PIN(port_a_io_4),
+ .D_IN_0(b_i)
+);
+
+SB_IO #(
+ .PIN_TYPE(6'd41)
+) SB_IO_23 (
+ .D_OUT_0(tstriple0_o),
+ .OUTPUT_ENABLE(tstriple0_oe),
+ .PACKAGE_PIN(i2c_scl),
+ .D_IN_0(tstriple0_i)
+);
+
+SB_IO #(
+ .PIN_TYPE(6'd41)
+) SB_IO_24 (
+ .D_OUT_0(tstriple1_o),
+ .OUTPUT_ENABLE(tstriple1_oe),
+ .PACKAGE_PIN(i2c_sda),
+ .D_IN_0(tstriple1_i)
+);
+
+SB_PLL40_CORE #(
+ .DIVF(5'd26),
+ .DIVQ(3'd5),
+ .DIVR(1'd0),
+ .FEEDBACK_PATH("SIMPLE"),
+ .FILTER_RANGE(3'd6),
+ .PLLOUT_SELECT("GENCLK")
+) SB_PLL40_CORE (
+ .BYPASS(1'd0),
+ .REFERENCECLK(sys_clk),
+ .RESETB((~sys_rst)),
+ .PLLOUTGLOBAL(pix_clk)
+);
+
+endmodule
diff --git a/ice40/regressions/issue0229/top.ys b/ice40/regressions/issue0229/top.ys
new file mode 100644
index 0000000..fada0e0
--- /dev/null
+++ b/ice40/regressions/issue0229/top.ys
@@ -0,0 +1,3 @@
+read_verilog top.v
+attrmap -tocase keep -imap keep="true" keep=1 -imap keep="false" keep=0 -remove keep=0
+synth_ice40 -top top -json top.json \ No newline at end of file
diff --git a/ice40/regressions/issue0229/top_pre_pack.py b/ice40/regressions/issue0229/top_pre_pack.py
new file mode 100644
index 0000000..77b95a9
--- /dev/null
+++ b/ice40/regressions/issue0229/top_pre_pack.py
@@ -0,0 +1,3 @@
+ctx.addClock("sys_clk", 29.999999999999996)
+ctx.addClock("pix_clk", 25.175)
+ctx.addClock("clk_if", 29.999999999999996)