aboutsummaryrefslogtreecommitdiffstats
path: root/ecp5/regressions/issue0191/testcase.v
diff options
context:
space:
mode:
Diffstat (limited to 'ecp5/regressions/issue0191/testcase.v')
-rw-r--r--ecp5/regressions/issue0191/testcase.v6
1 files changed, 6 insertions, 0 deletions
diff --git a/ecp5/regressions/issue0191/testcase.v b/ecp5/regressions/issue0191/testcase.v
new file mode 100644
index 0000000..d1d725e
--- /dev/null
+++ b/ecp5/regressions/issue0191/testcase.v
@@ -0,0 +1,6 @@
+`default_nettype none
+module test_inout( inout wire io_pin, );
+ reg a = 1'b0;
+ reg oe = 0;
+ assign io_pin = oe ? a : 1'bz;
+endmodule \ No newline at end of file