aboutsummaryrefslogtreecommitdiffstats
path: root/.cirrus.yml
diff options
context:
space:
mode:
authorEddie Hung <eddieh@ece.ubc.ca>2019-02-09 12:08:06 -0800
committerEddie Hung <eddieh@ece.ubc.ca>2019-02-09 12:08:06 -0800
commit4ac505701ed97dfa601ae33e0330a81bc034fc29 (patch)
tree618b413f9fe6755d5d624bcdc49fa4f4fae4f09b /.cirrus.yml
parentfcb6362279a2f0b06d7cd07ce8fe9247de39ea48 (diff)
downloadnextpnr-4ac505701ed97dfa601ae33e0330a81bc034fc29.tar.gz
nextpnr-4ac505701ed97dfa601ae33e0330a81bc034fc29.tar.bz2
nextpnr-4ac505701ed97dfa601ae33e0330a81bc034fc29.zip
[tests] Add to CI
Diffstat (limited to '.cirrus.yml')
-rw-r--r--.cirrus.yml3
1 files changed, 2 insertions, 1 deletions
diff --git a/.cirrus.yml b/.cirrus.yml
index 38e14513..d7aa01e0 100644
--- a/.cirrus.yml
+++ b/.cirrus.yml
@@ -9,4 +9,5 @@ task:
test_generic_script: cd build && ./nextpnr-generic-test
test_ice40_script: cd build && ./nextpnr-ice40-test
smoketest_ice40_script: export NEXTPNR=$(pwd)/build/nextpnr-ice40 && cd ice40/smoketest/attosoc && ./smoketest.sh
- test_ecp5_script: cd build && ./nextpnr-ecp5-test \ No newline at end of file
+ test_ecp5_script: cd build && ./nextpnr-ecp5-test
+ regressions_ice40: make -C tests/ice40/regressions