aboutsummaryrefslogtreecommitdiffstats
path: root/.cirrus.yml
diff options
context:
space:
mode:
authorEddie Hung <eddieh@ece.ubc.ca>2019-02-11 10:17:56 -0800
committerEddie Hung <eddieh@ece.ubc.ca>2019-02-11 10:17:56 -0800
commit6fed51ee3d1c892a18d090a6c108d867d7d6a55b (patch)
treedf75252bea3bd30d0d5b82bac30120f75ba93067 /.cirrus.yml
parent6d2594d95b785a0be4847c110184ca05dbc10e44 (diff)
downloadnextpnr-6fed51ee3d1c892a18d090a6c108d867d7d6a55b.tar.gz
nextpnr-6fed51ee3d1c892a18d090a6c108d867d7d6a55b.tar.bz2
nextpnr-6fed51ee3d1c892a18d090a6c108d867d7d6a55b.zip
[tests] Run ice40 regressions in parallel
Diffstat (limited to '.cirrus.yml')
-rw-r--r--.cirrus.yml2
1 files changed, 1 insertions, 1 deletions
diff --git a/.cirrus.yml b/.cirrus.yml
index 465676d8..2347b502 100644
--- a/.cirrus.yml
+++ b/.cirrus.yml
@@ -11,4 +11,4 @@ task:
test_ice40_script: cd build && ./nextpnr-ice40-test
smoketest_ice40_script: export NEXTPNR=$(pwd)/build/nextpnr-ice40 && cd ice40/smoketest/attosoc && ./smoketest.sh
test_ecp5_script: cd build && ./nextpnr-ecp5-test
- regressiontest_ice40_script: make -C tests/ice40/regressions NPNR=$(pwd)/build/nextpnr-ice40
+ regressiontest_ice40_script: make -j $(nproc) -C tests/ice40/regressions NPNR=$(pwd)/build/nextpnr-ice40