aboutsummaryrefslogtreecommitdiffstats
path: root/ecp5/gfx.cc
diff options
context:
space:
mode:
authorMiodrag Milanovic <mmicko@gmail.com>2019-12-08 09:33:06 +0100
committerMiodrag Milanovic <mmicko@gmail.com>2019-12-08 09:33:06 +0100
commit7fd856b866f1ddd2f81fdeaf981c15fde51b847d (patch)
treee9d8422bc12530ebb01da859019d7c8d166eeb26 /ecp5/gfx.cc
parent275805d78f598b64eb0769969b12362427e76c0d (diff)
downloadnextpnr-7fd856b866f1ddd2f81fdeaf981c15fde51b847d.tar.gz
nextpnr-7fd856b866f1ddd2f81fdeaf981c15fde51b847d.tar.bz2
nextpnr-7fd856b866f1ddd2f81fdeaf981c15fde51b847d.zip
clangformat run
Diffstat (limited to 'ecp5/gfx.cc')
-rw-r--r--ecp5/gfx.cc596
1 files changed, 317 insertions, 279 deletions
diff --git a/ecp5/gfx.cc b/ecp5/gfx.cc
index e78d7c5a..5c357dda 100644
--- a/ecp5/gfx.cc
+++ b/ecp5/gfx.cc
@@ -22,106 +22,100 @@
NEXTPNR_NAMESPACE_BEGIN
-void gfxTileWire(std::vector<GraphicElement> &g, int x, int y, int w, int h, IdString wire_type, GfxTileWireId tilewire, GraphicElement::style_t style)
+void gfxTileWire(std::vector<GraphicElement> &g, int x, int y, int w, int h, IdString wire_type, GfxTileWireId tilewire,
+ GraphicElement::style_t style)
{
if (wire_type == id_WIRE_TYPE_SLICE && tilewire != GfxTileWireId::TILE_WIRE_NONE) {
GraphicElement el;
el.type = GraphicElement::TYPE_LINE;
el.style = style;
- if (tilewire >= TILE_WIRE_FCO_SLICE && tilewire <=TILE_WIRE_FCI_SLICE)
- {
+ if (tilewire >= TILE_WIRE_FCO_SLICE && tilewire <= TILE_WIRE_FCI_SLICE) {
int gap = (tilewire - TILE_WIRE_FCO_SLICE) / 24;
int item = (tilewire - TILE_WIRE_FCO_SLICE) % 24;
el.x1 = x + slice_x1 - 0.005f;
el.x2 = x + slice_x1;
- el.y1 = y + slice_y2 - 0.0017f * (tilewire - TILE_WIRE_FCO_SLICE + 1 + gap*2) + 3*slice_pitch;
- el.y2 = y + slice_y2 - 0.0017f * (tilewire - TILE_WIRE_FCO_SLICE + 1 + gap*2) + 3*slice_pitch;
+ el.y1 = y + slice_y2 - 0.0017f * (tilewire - TILE_WIRE_FCO_SLICE + 1 + gap * 2) + 3 * slice_pitch;
+ el.y2 = y + slice_y2 - 0.0017f * (tilewire - TILE_WIRE_FCO_SLICE + 1 + gap * 2) + 3 * slice_pitch;
g.push_back(el);
// FX to F connection - top
- if (item == (TILE_WIRE_FXD_SLICE-TILE_WIRE_FCO_SLICE))
- {
+ if (item == (TILE_WIRE_FXD_SLICE - TILE_WIRE_FCO_SLICE)) {
el.x2 = el.x1;
el.y2 = el.y1 - 0.0017f;
g.push_back(el);
}
// F5 to F connection - bottom
- if (item == (TILE_WIRE_F5D_SLICE-TILE_WIRE_FCO_SLICE))
- {
+ if (item == (TILE_WIRE_F5D_SLICE - TILE_WIRE_FCO_SLICE)) {
el.x2 = el.x1;
el.y2 = el.y1 + 0.0017f;
g.push_back(el);
}
// connection between slices
- if (item == (TILE_WIRE_FCID_SLICE-TILE_WIRE_FCO_SLICE) && tilewire!=TILE_WIRE_FCI_SLICE)
- {
+ if (item == (TILE_WIRE_FCID_SLICE - TILE_WIRE_FCO_SLICE) && tilewire != TILE_WIRE_FCI_SLICE) {
el.x2 = el.x1;
el.y2 = el.y1 - 0.0017f * 3;
g.push_back(el);
}
}
- if (tilewire >= TILE_WIRE_DUMMY_D2 && tilewire <=TILE_WIRE_WAD0A_SLICE)
- {
+ if (tilewire >= TILE_WIRE_DUMMY_D2 && tilewire <= TILE_WIRE_WAD0A_SLICE) {
int gap = (tilewire - TILE_WIRE_DUMMY_D2) / 12;
el.x1 = x + slice_x2 + 0.005f;
el.x2 = x + slice_x2;
- el.y1 = y + slice_y2 - 0.0017f * (tilewire - TILE_WIRE_DUMMY_D2 + 1 + gap*14) + 3*slice_pitch;
- el.y2 = y + slice_y2 - 0.0017f * (tilewire - TILE_WIRE_DUMMY_D2 + 1 + gap*14) + 3*slice_pitch;
+ el.y1 = y + slice_y2 - 0.0017f * (tilewire - TILE_WIRE_DUMMY_D2 + 1 + gap * 14) + 3 * slice_pitch;
+ el.y2 = y + slice_y2 - 0.0017f * (tilewire - TILE_WIRE_DUMMY_D2 + 1 + gap * 14) + 3 * slice_pitch;
g.push_back(el);
}
}
if (wire_type == id_WIRE_TYPE_V01) {
- if (tilewire >= TILE_WIRE_V01N0001 && tilewire <=TILE_WIRE_V01S0100)
- {
+ if (tilewire >= TILE_WIRE_V01N0001 && tilewire <= TILE_WIRE_V01S0100) {
GraphicElement el;
el.type = GraphicElement::TYPE_LINE;
el.style = style;
- el.x1 = x + switchbox_x2 - 0.0017f*16 + 0.0017f * (tilewire - TILE_WIRE_V01N0001);
+ el.x1 = x + switchbox_x2 - 0.0017f * 16 + 0.0017f * (tilewire - TILE_WIRE_V01N0001);
el.x2 = el.x1;
- if (y==h-2)
+ if (y == h - 2)
el.y1 = y + 1.1;
else
el.y1 = y + switchbox_y1 + 1;
- if (y==0)
+ if (y == 0)
el.y2 = y + 0.9;
else
el.y2 = y + switchbox_y2;
g.push_back(el);
- }
- }
+ }
+ }
if (wire_type == id_WIRE_TYPE_H01) {
- if (tilewire >= TILE_WIRE_H01E0001 && tilewire <=TILE_WIRE_HL7W0001)
- {
+ if (tilewire >= TILE_WIRE_H01E0001 && tilewire <= TILE_WIRE_HL7W0001) {
GraphicElement el;
el.type = GraphicElement::TYPE_LINE;
el.style = style;
- if (x==w-1)
+ if (x == w - 1)
el.x1 = x + 0.1;
else
el.x1 = x + switchbox_x1;
- if (x==1)
+ if (x == 1)
el.x2 = x - 0.1;
else
el.x2 = x + switchbox_x2 - 1;
- el.y1 = y + switchbox_y1 + 0.0017f*16 - 0.0017f * (tilewire - TILE_WIRE_H01E0001);
+ el.y1 = y + switchbox_y1 + 0.0017f * 16 - 0.0017f * (tilewire - TILE_WIRE_H01E0001);
el.y2 = el.y1;
g.push_back(el);
- }
- }
+ }
+ }
if (wire_type == id_WIRE_TYPE_V00) {
int group = (tilewire - TILE_WIRE_V00T0000) / 2;
GraphicElement el;
el.type = GraphicElement::TYPE_LINE;
el.style = style;
- el.x1 = x + switchbox_x2 - 0.0017f*(8 - ((tilewire - TILE_WIRE_V00T0000) % 2)*4);
+ el.x1 = x + switchbox_x2 - 0.0017f * (8 - ((tilewire - TILE_WIRE_V00T0000) % 2) * 4);
el.x2 = el.x1;
if (group) {
el.y1 = y + switchbox_y1;
- el.y2 = y + switchbox_y1 - 0.0017f*4;
+ el.y2 = y + switchbox_y1 - 0.0017f * 4;
} else {
el.y1 = y + switchbox_y2;
- el.y2 = y + switchbox_y2 + 0.0017f*4;
+ el.y2 = y + switchbox_y2 + 0.0017f * 4;
}
g.push_back(el);
}
@@ -130,14 +124,14 @@ void gfxTileWire(std::vector<GraphicElement> &g, int x, int y, int w, int h, IdS
GraphicElement el;
el.type = GraphicElement::TYPE_LINE;
el.style = style;
- el.y1 = y + switchbox_y1 + 0.0017f*(8 - ((tilewire - TILE_WIRE_H00L0000) % 2)*4);
+ el.y1 = y + switchbox_y1 + 0.0017f * (8 - ((tilewire - TILE_WIRE_H00L0000) % 2) * 4);
el.y2 = el.y1;
if (group) {
- el.x1 = x + switchbox_x2 + 0.0017f*4;
+ el.x1 = x + switchbox_x2 + 0.0017f * 4;
el.x2 = x + switchbox_x2;
} else {
- el.x1 = x + switchbox_x1 - 0.0017f*4;
+ el.x1 = x + switchbox_x1 - 0.0017f * 4;
el.x2 = x + switchbox_x1;
}
g.push_back(el);
@@ -147,245 +141,263 @@ void gfxTileWire(std::vector<GraphicElement> &g, int x, int y, int w, int h, IdS
GraphicElement el;
el.type = GraphicElement::TYPE_LINE;
el.style = style;
- if (x==0)
+ if (x == 0)
el.x1 = 0.9;
else
- el.x1 = x + switchbox_x1 + 0.0017f*(16 + (tilewire - TILE_WIRE_H02W0701)+ 20 *(x%3));
+ el.x1 = x + switchbox_x1 + 0.0017f * (16 + (tilewire - TILE_WIRE_H02W0701) + 20 * (x % 3));
el.x2 = el.x1;
el.y1 = y + switchbox_y1;
- el.y2 = y + switchbox_y1 - 0.0017f*(20 + (tilewire - TILE_WIRE_H02W0701)+ 20 *(x%3));
- if (x!=0 && x!=w-1) g.push_back(el);
+ el.y2 = y + switchbox_y1 - 0.0017f * (20 + (tilewire - TILE_WIRE_H02W0701) + 20 * (x % 3));
+ if (x != 0 && x != w - 1)
+ g.push_back(el);
- if (x==w-2)
+ if (x == w - 2)
el.x2 = x + 1 + 0.1;
else
- el.x2 = x + 1 + switchbox_x1 + 0.0017f*(16 + (tilewire - TILE_WIRE_H02W0701)+ 20 *(x%3));
+ el.x2 = x + 1 + switchbox_x1 + 0.0017f * (16 + (tilewire - TILE_WIRE_H02W0701) + 20 * (x % 3));
el.y1 = el.y2;
- if (x!=w-1) g.push_back(el);
+ if (x != w - 1)
+ g.push_back(el);
el.x1 = el.x2;
el.y1 = y + switchbox_y1;
- if (x!=w-1 && x!=w-2) g.push_back(el);
+ if (x != w - 1 && x != w - 2)
+ g.push_back(el);
- if (x==w-1)
+ if (x == w - 1)
el.x1 = x + 0.1;
else
- el.x1 = x + switchbox_x1 + 0.0017f*(16 + (tilewire - TILE_WIRE_H02W0701)+ 20 *(x%3));
- if (x==1)
+ el.x1 = x + switchbox_x1 + 0.0017f * (16 + (tilewire - TILE_WIRE_H02W0701) + 20 * (x % 3));
+ if (x == 1)
el.x2 = x - 1 + 0.9;
else
- el.x2 = x - 1 + switchbox_x1 + 0.0017f*(16 + (tilewire - TILE_WIRE_H02W0701)+ 20 *(x%3));
- el.y2 = y + switchbox_y1 - 0.0017f*(20 + (tilewire - TILE_WIRE_H02W0701)+ 20 *(x%3));
+ el.x2 = x - 1 + switchbox_x1 + 0.0017f * (16 + (tilewire - TILE_WIRE_H02W0701) + 20 * (x % 3));
+ el.y2 = y + switchbox_y1 - 0.0017f * (20 + (tilewire - TILE_WIRE_H02W0701) + 20 * (x % 3));
el.y1 = el.y2;
- if (x!=0) g.push_back(el);
-
+ if (x != 0)
+ g.push_back(el);
+
el.x1 = el.x2;
el.y1 = y + switchbox_y1;
- if (x!=0 && x!=1) g.push_back(el);
- }
+ if (x != 0 && x != 1)
+ g.push_back(el);
+ }
if (wire_type == id_WIRE_TYPE_V02) {
GraphicElement el;
el.type = GraphicElement::TYPE_LINE;
el.style = style;
- if (y==0)
+ if (y == 0)
el.y1 = 0.9;
else
- el.y1 = y + switchbox_y1 + 0.0017f*(20 + (tilewire - TILE_WIRE_V02N0701)+ 20 *(y%3));
+ el.y1 = y + switchbox_y1 + 0.0017f * (20 + (tilewire - TILE_WIRE_V02N0701) + 20 * (y % 3));
el.y2 = el.y1;
el.x1 = x + switchbox_x1;
- el.x2 = x + switchbox_x1 - 0.0017f*(20 + (tilewire - TILE_WIRE_V02N0701)+ 20 *(y%3));
- if (y!=0 && y!=h-1) g.push_back(el);
+ el.x2 = x + switchbox_x1 - 0.0017f * (20 + (tilewire - TILE_WIRE_V02N0701) + 20 * (y % 3));
+ if (y != 0 && y != h - 1)
+ g.push_back(el);
- if (y==h-2)
+ if (y == h - 2)
el.y2 = y + 1 + 0.1;
else
- el.y2 = y + 1 + switchbox_y1 + 0.0017f*(20 + (tilewire - TILE_WIRE_V02N0701)+ 20 *(y%3));
+ el.y2 = y + 1 + switchbox_y1 + 0.0017f * (20 + (tilewire - TILE_WIRE_V02N0701) + 20 * (y % 3));
el.x1 = el.x2;
- if (y!=h-1) g.push_back(el);
+ if (y != h - 1)
+ g.push_back(el);
el.y1 = el.y2;
el.x1 = x + switchbox_x1;
- if (y!=h-1 && y!=h-2) g.push_back(el);
+ if (y != h - 1 && y != h - 2)
+ g.push_back(el);
- if (y==h-1)
+ if (y == h - 1)
el.y1 = y + 0.1;
else
- el.y1 = y + switchbox_y1 + 0.0017f*(20 + (tilewire - TILE_WIRE_V02N0701)+ 20 *(y%3));
- if (y==1)
+ el.y1 = y + switchbox_y1 + 0.0017f * (20 + (tilewire - TILE_WIRE_V02N0701) + 20 * (y % 3));
+ if (y == 1)
el.y2 = y - 1 + 0.9;
else
- el.y2 = y - 1 + switchbox_y1 + 0.0017f*(20 + (tilewire - TILE_WIRE_V02N0701)+ 20 *(y%3));
- el.x2 = x + switchbox_x1 - 0.0017f*(20 + (tilewire - TILE_WIRE_V02N0701)+ 20 *(y%3));
+ el.y2 = y - 1 + switchbox_y1 + 0.0017f * (20 + (tilewire - TILE_WIRE_V02N0701) + 20 * (y % 3));
+ el.x2 = x + switchbox_x1 - 0.0017f * (20 + (tilewire - TILE_WIRE_V02N0701) + 20 * (y % 3));
el.x1 = el.x2;
- if (y!=0) g.push_back(el);
-
+ if (y != 0)
+ g.push_back(el);
+
el.y1 = el.y2;
el.x1 = x + switchbox_x1;
- if (y!=0 && y!=1) g.push_back(el);
- }
+ if (y != 0 && y != 1)
+ g.push_back(el);
+ }
- if (wire_type == id_WIRE_TYPE_H06) {
+ if (wire_type == id_WIRE_TYPE_H06) {
GraphicElement el;
el.type = GraphicElement::TYPE_LINE;
el.style = style;
- if (x==0)
+ if (x == 0)
el.x1 = 0.9;
else
- el.x1 = x + switchbox_x1 + 0.0017f*(96 + (tilewire - TILE_WIRE_H06W0303)+ 10 *(x%9));
+ el.x1 = x + switchbox_x1 + 0.0017f * (96 + (tilewire - TILE_WIRE_H06W0303) + 10 * (x % 9));
el.x2 = el.x1;
el.y1 = y + switchbox_y1;
- el.y2 = y + switchbox_y1 - 0.0017f*(96 + (tilewire - TILE_WIRE_H06W0303)+ 10 *(x%9));
- if (x!=0 && x!=w-1) g.push_back(el);
+ el.y2 = y + switchbox_y1 - 0.0017f * (96 + (tilewire - TILE_WIRE_H06W0303) + 10 * (x % 9));
+ if (x != 0 && x != w - 1)
+ g.push_back(el);
- if (x==w-2 || x==w-3 || x==w-4)
+ if (x == w - 2 || x == w - 3 || x == w - 4)
el.x2 = w - 1 + 0.1;
else
- el.x2 = x + 3 + switchbox_x1 + 0.0017f*(96 + (tilewire - TILE_WIRE_H06W0303)+ 10 *(x%9));
+ el.x2 = x + 3 + switchbox_x1 + 0.0017f * (96 + (tilewire - TILE_WIRE_H06W0303) + 10 * (x % 9));
el.y1 = el.y2;
- if (x!=w-1) g.push_back(el);
+ if (x != w - 1)
+ g.push_back(el);
el.x1 = el.x2;
el.y1 = y + switchbox_y1;
- if (x!=w-1 && x!=w-2 && x!=w-3 && x!=w-4) g.push_back(el);
+ if (x != w - 1 && x != w - 2 && x != w - 3 && x != w - 4)
+ g.push_back(el);
- if (x==w-1)
+ if (x == w - 1)
el.x1 = x + 0.1;
else
- el.x1 = x + switchbox_x1 + 0.0017f*(96 + (tilewire - TILE_WIRE_H06W0303)+ 10 *(x%9));
- if (x==1 || x==2 || x==3)
+ el.x1 = x + switchbox_x1 + 0.0017f * (96 + (tilewire - TILE_WIRE_H06W0303) + 10 * (x % 9));
+ if (x == 1 || x == 2 || x == 3)
el.x2 = 0.9;
else
- el.x2 = x - 3 + switchbox_x1 + 0.0017f*(96 + (tilewire - TILE_WIRE_H06W0303)+ 10 *(x%9));
- el.y2 = y + switchbox_y1 - 0.0017f*(96 + (tilewire - TILE_WIRE_H06W0303)+ 10 *(x%9));
+ el.x2 = x - 3 + switchbox_x1 + 0.0017f * (96 + (tilewire - TILE_WIRE_H06W0303) + 10 * (x % 9));
+ el.y2 = y + switchbox_y1 - 0.0017f * (96 + (tilewire - TILE_WIRE_H06W0303) + 10 * (x % 9));
el.y1 = el.y2;
- if (x!=0) g.push_back(el);
-
+ if (x != 0)
+ g.push_back(el);
+
el.x1 = el.x2;
el.y1 = y + switchbox_y1;
- if (x!=0 && x!=1 && x!=2 && x!=3) g.push_back(el);
+ if (x != 0 && x != 1 && x != 2 && x != 3)
+ g.push_back(el);
}
- if (wire_type == id_WIRE_TYPE_V06) {
+ if (wire_type == id_WIRE_TYPE_V06) {
GraphicElement el;
el.type = GraphicElement::TYPE_LINE;
el.style = style;
- if (y==0)
+ if (y == 0)
el.y1 = 0.9;
else
- el.y1 = y + switchbox_y1 + 0.0017f*(96 + (tilewire - TILE_WIRE_V06N0303)+ 10 *(y%9));
+ el.y1 = y + switchbox_y1 + 0.0017f * (96 + (tilewire - TILE_WIRE_V06N0303) + 10 * (y % 9));
el.y2 = el.y1;
el.x1 = x + switchbox_x1;
- el.x2 = x + switchbox_x1 - 0.0017f*(96 + (tilewire - TILE_WIRE_V06N0303)+ 10 *(y%9));
- if (y!=0 && y!=h-1) g.push_back(el);
+ el.x2 = x + switchbox_x1 - 0.0017f * (96 + (tilewire - TILE_WIRE_V06N0303) + 10 * (y % 9));
+ if (y != 0 && y != h - 1)
+ g.push_back(el);
- if (y==h-2 || y==h-3 || y==h-4)
+ if (y == h - 2 || y == h - 3 || y == h - 4)
el.y2 = h - 1 + 0.1;
else
- el.y2 = y + 3 + switchbox_y1 + 0.0017f*(96 + (tilewire - TILE_WIRE_V06N0303)+ 10 *(y%9));
+ el.y2 = y + 3 + switchbox_y1 + 0.0017f * (96 + (tilewire - TILE_WIRE_V06N0303) + 10 * (y % 9));
el.x1 = el.x2;
- if (y!=h-1) g.push_back(el);
+ if (y != h - 1)
+ g.push_back(el);
el.y1 = el.y2;
el.x1 = x + switchbox_x1;
- if (y!=h-1 && y!=h-2 && y!=h-3 && y!=h-4) g.push_back(el);
+ if (y != h - 1 && y != h - 2 && y != h - 3 && y != h - 4)
+ g.push_back(el);
- if (y==h-1)
+ if (y == h - 1)
el.y1 = y + 0.1;
else
- el.y1 = y + switchbox_y1 + 0.0017f*(96 + (tilewire - TILE_WIRE_V06N0303)+ 10 *(y%9));
- if (y==1 || y==2 || y==3)
+ el.y1 = y + switchbox_y1 + 0.0017f * (96 + (tilewire - TILE_WIRE_V06N0303) + 10 * (y % 9));
+ if (y == 1 || y == 2 || y == 3)
el.y2 = 0.9;
else
- el.y2 = y - 3 + switchbox_y1 + 0.0017f*(96 + (tilewire - TILE_WIRE_V06N0303)+ 10 *(y%9));
- el.x2 = x + switchbox_x1 - 0.0017f*(96 + (tilewire - TILE_WIRE_V06N0303)+ 10 *(y%9));
+ el.y2 = y - 3 + switchbox_y1 + 0.0017f * (96 + (tilewire - TILE_WIRE_V06N0303) + 10 * (y % 9));
+ el.x2 = x + switchbox_x1 - 0.0017f * (96 + (tilewire - TILE_WIRE_V06N0303) + 10 * (y % 9));
el.x1 = el.x2;
- if (y!=0) g.push_back(el);
-
+ if (y != 0)
+ g.push_back(el);
+
el.y1 = el.y2;
el.x1 = x + switchbox_x1;
- if (y!=0 && y!=1 && y!=2 && y!=3) g.push_back(el);
- }
+ if (y != 0 && y != 1 && y != 2 && y != 3)
+ g.push_back(el);
+ }
if (wire_type == id_WIRE_TYPE_NONE) {
- if (tilewire >= TILE_WIRE_NBOUNCE && tilewire <=TILE_WIRE_SBOUNCE)
- {
+ if (tilewire >= TILE_WIRE_NBOUNCE && tilewire <= TILE_WIRE_SBOUNCE) {
GraphicElement el;
el.type = GraphicElement::TYPE_LINE;
el.style = style;
- el.x1 = x + switchbox_x2 - 0.0017f*4;
- el.x2 = x + switchbox_x2 - 0.0017f*8;
+ el.x1 = x + switchbox_x2 - 0.0017f * 4;
+ el.x2 = x + switchbox_x2 - 0.0017f * 8;
if (tilewire == TILE_WIRE_NBOUNCE) {
- el.y1 = y + switchbox_y2 + 0.0017f*4;
+ el.y1 = y + switchbox_y2 + 0.0017f * 4;
el.y2 = el.y1;
} else {
- el.y1 = y + switchbox_y1 - 0.0017f*4;
+ el.y1 = y + switchbox_y1 - 0.0017f * 4;
el.y2 = el.y1;
}
g.push_back(el);
}
- if (tilewire >= TILE_WIRE_WBOUNCE && tilewire <=TILE_WIRE_EBOUNCE)
- {
+ if (tilewire >= TILE_WIRE_WBOUNCE && tilewire <= TILE_WIRE_EBOUNCE) {
GraphicElement el;
el.type = GraphicElement::TYPE_LINE;
el.style = style;
- el.y1 = y + switchbox_y1 + 0.0017f*4;
- el.y2 = y + switchbox_y1 + 0.0017f*8;
+ el.y1 = y + switchbox_y1 + 0.0017f * 4;
+ el.y2 = y + switchbox_y1 + 0.0017f * 8;
if (tilewire == TILE_WIRE_WBOUNCE) {
- el.x1 = x + switchbox_x1 - 0.0017f*4;
+ el.x1 = x + switchbox_x1 - 0.0017f * 4;
el.x2 = el.x1;
} else {
- el.x1 = x + switchbox_x2 + 0.0017f*4;
+ el.x1 = x + switchbox_x2 + 0.0017f * 4;
el.x2 = el.x1;
}
g.push_back(el);
- }
- if (tilewire >= TILE_WIRE_CLK0 && tilewire <=TILE_WIRE_LSR1)
- {
- GraphicElement el;
+ }
+ if (tilewire >= TILE_WIRE_CLK0 && tilewire <= TILE_WIRE_LSR1) {
+ GraphicElement el;
el.type = GraphicElement::TYPE_LINE;
el.style = style;
el.x1 = x + switchbox_x2;
- el.x2 = x + slice_x2 + 0.0255f + (8 - (tilewire - TILE_WIRE_CLK0)) * 0.0017f;
- el.y1 = y + slice_y2 - 0.0017f * (tilewire - TILE_WIRE_CLK0 - 5) + 3*slice_pitch;
+ el.x2 = x + slice_x2 + 0.0255f + (8 - (tilewire - TILE_WIRE_CLK0)) * 0.0017f;
+ el.y1 = y + slice_y2 - 0.0017f * (tilewire - TILE_WIRE_CLK0 - 5) + 3 * slice_pitch;
el.y2 = el.y1;
g.push_back(el);
el.x1 = el.x2;
el.y2 = y + slice_y2 - 0.0017f * (3 + (tilewire - TILE_WIRE_CLK0));
g.push_back(el);
- for (int i=0;i<4;i++)
- {
+ for (int i = 0; i < 4; i++) {
el.x1 = x + slice_x2 + 0.0255f + 0.0017f;
- el.x2 = x + slice_x2 + 0.0255f + (8 - (tilewire - TILE_WIRE_CLK0)) * 0.0017f;
- el.y1 = y + slice_y2 - 0.0017f * (TILE_WIRE_CLK3_SLICE - TILE_WIRE_DUMMY_D2 + 1 + tilewire - TILE_WIRE_CLK0)+ i*slice_pitch;
+ el.x2 = x + slice_x2 + 0.0255f + (8 - (tilewire - TILE_WIRE_CLK0)) * 0.0017f;
+ el.y1 = y + slice_y2 -
+ 0.0017f * (TILE_WIRE_CLK3_SLICE - TILE_WIRE_DUMMY_D2 + 1 + tilewire - TILE_WIRE_CLK0) +
+ i * slice_pitch;
el.y2 = el.y1;
g.push_back(el);
}
- if (tilewire==TILE_WIRE_CLK1 || tilewire==TILE_WIRE_LSR1) {
- for (int i=0;i<2;i++)
- {
+ if (tilewire == TILE_WIRE_CLK1 || tilewire == TILE_WIRE_LSR1) {
+ for (int i = 0; i < 2; i++) {
el.x1 = x + slice_x2 + 0.0051f;
- el.x2 = x + slice_x2 + 0.0255f + (8 - (tilewire - TILE_WIRE_CLK0)) * 0.0017f;
- el.y1 = y + slice_y2 - 0.0017f * (TILE_WIRE_CLK3_SLICE - TILE_WIRE_DUMMY_D2 - 1 + (tilewire - TILE_WIRE_CLK0)/2)+ i*slice_pitch;
+ el.x2 = x + slice_x2 + 0.0255f + (8 - (tilewire - TILE_WIRE_CLK0)) * 0.0017f;
+ el.y1 = y + slice_y2 -
+ 0.0017f *
+ (TILE_WIRE_CLK3_SLICE - TILE_WIRE_DUMMY_D2 - 1 + (tilewire - TILE_WIRE_CLK0) / 2) +
+ i * slice_pitch;
el.y2 = el.y1;
g.push_back(el);
}
}
- }
+ }
- if (tilewire >= TILE_WIRE_FCO && tilewire <=TILE_WIRE_FCI)
- {
+ if (tilewire >= TILE_WIRE_FCO && tilewire <= TILE_WIRE_FCI) {
int gap = (tilewire - TILE_WIRE_FCO) / 24;
- GraphicElement el;
+ GraphicElement el;
el.type = GraphicElement::TYPE_LINE;
el.style = style;
el.x1 = x + switchbox_x2;
el.x2 = x + slice_x1 - 0.005f;
- el.y1 = y + slice_y2 - 0.0017f * (tilewire - TILE_WIRE_FCO + 1 + gap*2) + 3*slice_pitch;
- el.y2 = y + slice_y2 - 0.0017f * (tilewire - TILE_WIRE_FCO + 1 + gap*2) + 3*slice_pitch;
+ el.y1 = y + slice_y2 - 0.0017f * (tilewire - TILE_WIRE_FCO + 1 + gap * 2) + 3 * slice_pitch;
+ el.y2 = y + slice_y2 - 0.0017f * (tilewire - TILE_WIRE_FCO + 1 + gap * 2) + 3 * slice_pitch;
g.push_back(el);
- }
+ }
- if (tilewire >= TILE_WIRE_MUXCLK3 && tilewire <=TILE_WIRE_MUXLSR0)
- {
+ if (tilewire >= TILE_WIRE_MUXCLK3 && tilewire <= TILE_WIRE_MUXLSR0) {
int gap = (tilewire - TILE_WIRE_MUXCLK3) / 2;
int part = (tilewire - TILE_WIRE_MUXCLK3) % 2;
GraphicElement el;
@@ -393,46 +405,49 @@ void gfxTileWire(std::vector<GraphicElement> &g, int x, int y, int w, int h, IdS
el.style = style;
el.x1 = x + slice_x2 + 0.0051f;
el.x2 = x + slice_x2 + 0.0255f;
- el.y1 = y + slice_y2 - 0.0017f * (TILE_WIRE_CLK3_SLICE - TILE_WIRE_DUMMY_D2 + 1 + part + gap*26) + 3*slice_pitch;
+ el.y1 = y + slice_y2 - 0.0017f * (TILE_WIRE_CLK3_SLICE - TILE_WIRE_DUMMY_D2 + 1 + part + gap * 26) +
+ 3 * slice_pitch;
el.y2 = el.y1;
g.push_back(el);
}
- if (tilewire >= TILE_WIRE_WD3 && tilewire <=TILE_WIRE_WD0)
- {
+ if (tilewire >= TILE_WIRE_WD3 && tilewire <= TILE_WIRE_WD0) {
GraphicElement el;
- int part = (tilewire - TILE_WIRE_WD3) % 4;
- int group = (tilewire - TILE_WIRE_WD3) / 2;
+ int part = (tilewire - TILE_WIRE_WD3) % 4;
+ int group = (tilewire - TILE_WIRE_WD3) / 2;
el.type = GraphicElement::TYPE_LINE;
el.style = style;
el.x1 = x + slice_x2 + 0.005f;
- el.x2 = x + slice_x2 + 0.005f + 0.0017f *(4 - part);
- el.y1 = y + slice_y2 - 0.0017f * (TILE_WIRE_WDO3C_SLICE - TILE_WIRE_DUMMY_D2 + 1 + part + 14) + 3*slice_pitch;
+ el.x2 = x + slice_x2 + 0.005f + 0.0017f * (4 - part);
+ el.y1 = y + slice_y2 - 0.0017f * (TILE_WIRE_WDO3C_SLICE - TILE_WIRE_DUMMY_D2 + 1 + part + 14) +
+ 3 * slice_pitch;
el.y2 = el.y1;
g.push_back(el);
el.x1 = el.x2;
- el.y2 = y + slice_y2 - 0.0017f * (TILE_WIRE_WD1B_SLICE - TILE_WIRE_DUMMY_D2 + 1 + (part & 1) + 14*2) + (3-group)*slice_pitch;
+ el.y2 = y + slice_y2 - 0.0017f * (TILE_WIRE_WD1B_SLICE - TILE_WIRE_DUMMY_D2 + 1 + (part & 1) + 14 * 2) +
+ (3 - group) * slice_pitch;
g.push_back(el);
el.x1 = x + slice_x2 + 0.005f;
el.y1 = el.y2;
g.push_back(el);
}
- if (tilewire >= TILE_WIRE_WAD3 && tilewire <=TILE_WIRE_WAD0)
- {
+ if (tilewire >= TILE_WIRE_WAD3 && tilewire <= TILE_WIRE_WAD0) {
GraphicElement el;
- int part = (tilewire - TILE_WIRE_WAD3) % 4;
+ int part = (tilewire - TILE_WIRE_WAD3) % 4;
el.type = GraphicElement::TYPE_LINE;
el.style = style;
el.x1 = x + slice_x2 + 0.005f;
- el.x2 = x + slice_x2 + 0.005f + 0.0017f *(8 - part);
- el.y1 = y + slice_y2 - 0.0017f * (TILE_WIRE_WADO3C_SLICE - TILE_WIRE_DUMMY_D2 + 1 + part+ 14) + 3*slice_pitch;
+ el.x2 = x + slice_x2 + 0.005f + 0.0017f * (8 - part);
+ el.y1 = y + slice_y2 - 0.0017f * (TILE_WIRE_WADO3C_SLICE - TILE_WIRE_DUMMY_D2 + 1 + part + 14) +
+ 3 * slice_pitch;
el.y2 = el.y1;
g.push_back(el);
el.x1 = el.x2;
- el.y2 = y + slice_y2 - 0.0017f * (TILE_WIRE_WAD3B_SLICE - TILE_WIRE_DUMMY_D2 + 1 + part+ 14*2) + 2*slice_pitch;
+ el.y2 = y + slice_y2 - 0.0017f * (TILE_WIRE_WAD3B_SLICE - TILE_WIRE_DUMMY_D2 + 1 + part + 14 * 2) +
+ 2 * slice_pitch;
g.push_back(el);
el.x1 = x + slice_x2 + 0.005f;
@@ -441,45 +456,45 @@ void gfxTileWire(std::vector<GraphicElement> &g, int x, int y, int w, int h, IdS
// middle line
el.x1 = x + slice_x2 + 0.005f;
- el.x2 = x + slice_x2 + 0.005f + 0.0017f *(8 - part);
- el.y2 = y + slice_y2 - 0.0017f * (TILE_WIRE_WAD3B_SLICE - TILE_WIRE_DUMMY_D2 + 1 + part+ 14*2) + 3*slice_pitch;
+ el.x2 = x + slice_x2 + 0.005f + 0.0017f * (8 - part);
+ el.y2 = y + slice_y2 - 0.0017f * (TILE_WIRE_WAD3B_SLICE - TILE_WIRE_DUMMY_D2 + 1 + part + 14 * 2) +
+ 3 * slice_pitch;
el.y1 = el.y2;
g.push_back(el);
}
}
-
}
void setSource(GraphicElement &el, int x, int y, WireId src, IdString src_type, GfxTileWireId src_id)
{
if (src_type == id_WIRE_TYPE_H00) {
int group = (src_id - TILE_WIRE_H00L0000) / 2;
- el.y1 = y + switchbox_y1 + 0.0017f*(8 - ((src_id - TILE_WIRE_H00L0000) % 2)*4);
+ el.y1 = y + switchbox_y1 + 0.0017f * (8 - ((src_id - TILE_WIRE_H00L0000) % 2) * 4);
if (group) {
el.x1 = x + switchbox_x2;
} else {
el.x1 = x + switchbox_x1;
}
- }
+ }
if (src_type == id_WIRE_TYPE_H01) {
- if (x == src.location.x)
+ if (x == src.location.x)
el.x1 = x + switchbox_x1;
else
el.x1 = x + switchbox_x2;
- el.y1 = y + switchbox_y1 + 0.0017f*16 - 0.0017f * (src_id - TILE_WIRE_H01E0001);
+ el.y1 = y + switchbox_y1 + 0.0017f * 16 - 0.0017f * (src_id - TILE_WIRE_H01E0001);
}
if (src_type == id_WIRE_TYPE_H02) {
- el.x1 = x + switchbox_x1 + 0.0017f*(16 + (src_id - TILE_WIRE_H02W0701)+ 20 *(src.location.x%3));
+ el.x1 = x + switchbox_x1 + 0.0017f * (16 + (src_id - TILE_WIRE_H02W0701) + 20 * (src.location.x % 3));
el.y1 = y + switchbox_y1;
}
if (src_type == id_WIRE_TYPE_H06) {
- el.x1 = x + switchbox_x1 + 0.0017f*(96 + (src_id - TILE_WIRE_H06W0303)+ 10 *(src.location.x%9));
+ el.x1 = x + switchbox_x1 + 0.0017f * (96 + (src_id - TILE_WIRE_H06W0303) + 10 * (src.location.x % 9));
el.y1 = y + switchbox_y1;
}
if (src_type == id_WIRE_TYPE_V00) {
int group = (src_id - TILE_WIRE_V00T0000) / 2;
- el.x1 = x + switchbox_x2 - 0.0017f*(8 - ((src_id - TILE_WIRE_V00T0000) % 2)*4);
+ el.x1 = x + switchbox_x2 - 0.0017f * (8 - ((src_id - TILE_WIRE_V00T0000) % 2) * 4);
if (group) {
el.y1 = y + switchbox_y1;
} else {
@@ -487,19 +502,19 @@ void setSource(GraphicElement &el, int x, int y, WireId src, IdString src_type,
}
}
if (src_type == id_WIRE_TYPE_V01) {
- el.x1 = x + switchbox_x2 - 0.0017f*16 + 0.0017f * (src_id - TILE_WIRE_V01N0001);
- if (y == src.location.y)
+ el.x1 = x + switchbox_x2 - 0.0017f * 16 + 0.0017f * (src_id - TILE_WIRE_V01N0001);
+ if (y == src.location.y)
el.y1 = y + switchbox_y2;
else
el.y1 = y + switchbox_y1;
}
if (src_type == id_WIRE_TYPE_V02) {
- el.x1 = x + switchbox_x1;
- el.y1 = y + switchbox_y1 + 0.0017f*(20 + (src_id - TILE_WIRE_V02N0701)+ 20 *(src.location.y%3));
+ el.x1 = x + switchbox_x1;
+ el.y1 = y + switchbox_y1 + 0.0017f * (20 + (src_id - TILE_WIRE_V02N0701) + 20 * (src.location.y % 3));
}
if (src_type == id_WIRE_TYPE_V06) {
- el.x1 = x + switchbox_x1;
- el.y1 = y + switchbox_y1 + 0.0017f*(96 + (src_id - TILE_WIRE_V06N0303)+ 10 *(src.location.y%9));
+ el.x1 = x + switchbox_x1;
+ el.y1 = y + switchbox_y1 + 0.0017f * (96 + (src_id - TILE_WIRE_V06N0303) + 10 * (src.location.y % 9));
}
}
@@ -507,7 +522,7 @@ void setDestination(GraphicElement &el, int x, int y, WireId dst, IdString dst_t
{
if (dst_type == id_WIRE_TYPE_H00) {
int group = (dst_id - TILE_WIRE_H00L0000) / 2;
- el.y2 = y + switchbox_y1 + 0.0017f*(8 - ((dst_id - TILE_WIRE_H00L0000) % 2)*4);
+ el.y2 = y + switchbox_y1 + 0.0017f * (8 - ((dst_id - TILE_WIRE_H00L0000) % 2) * 4);
if (group) {
el.x2 = x + switchbox_x2;
@@ -516,23 +531,23 @@ void setDestination(GraphicElement &el, int x, int y, WireId dst, IdString dst_t
}
}
if (dst_type == id_WIRE_TYPE_H01) {
- if (x == dst.location.x)
+ if (x == dst.location.x)
el.x2 = x + switchbox_x1;
else
el.x2 = x + switchbox_x2;
- el.y2 = y + switchbox_y1 + 0.0017f*16 - 0.0017f * (dst_id - TILE_WIRE_H01E0001);
+ el.y2 = y + switchbox_y1 + 0.0017f * 16 - 0.0017f * (dst_id - TILE_WIRE_H01E0001);
}
if (dst_type == id_WIRE_TYPE_H02) {
- el.x2 = x + switchbox_x1 + 0.0017f*(16 + (dst_id - TILE_WIRE_H02W0701)+ 20 *(dst.location.x%3));
+ el.x2 = x + switchbox_x1 + 0.0017f * (16 + (dst_id - TILE_WIRE_H02W0701) + 20 * (dst.location.x % 3));
el.y2 = y + switchbox_y1;
}
if (dst_type == id_WIRE_TYPE_H06) {
- el.x2 = x + switchbox_x1 + 0.0017f*(96 + (dst_id - TILE_WIRE_H06W0303)+ 10 *(dst.location.x%9));
+ el.x2 = x + switchbox_x1 + 0.0017f * (96 + (dst_id - TILE_WIRE_H06W0303) + 10 * (dst.location.x % 9));
el.y2 = y + switchbox_y1;
}
if (dst_type == id_WIRE_TYPE_V00) {
int group = (dst_id - TILE_WIRE_V00T0000) / 2;
- el.x2 = x + switchbox_x2 - 0.0017f*(8 - ((dst_id - TILE_WIRE_V00T0000) % 2)*4);
+ el.x2 = x + switchbox_x2 - 0.0017f * (8 - ((dst_id - TILE_WIRE_V00T0000) % 2) * 4);
if (group) {
el.y2 = y + switchbox_y1;
} else {
@@ -540,52 +555,54 @@ void setDestination(GraphicElement &el, int x, int y, WireId dst, IdString dst_t
}
}
if (dst_type == id_WIRE_TYPE_V01) {
- el.x2 = x + switchbox_x2 - 0.0017f*16 + 0.0017f * (dst_id - TILE_WIRE_V01N0001);
- if (y == dst.location.y)
+ el.x2 = x + switchbox_x2 - 0.0017f * 16 + 0.0017f * (dst_id - TILE_WIRE_V01N0001);
+ if (y == dst.location.y)
el.y2 = y + switchbox_y2;
else
el.y2 = y + switchbox_y1;
}
if (dst_type == id_WIRE_TYPE_V02) {
- el.x2 = x + switchbox_x1;
- el.y2 = y + switchbox_y1 + 0.0017f*(20 + (dst_id - TILE_WIRE_V02N0701)+ 20 *(dst.location.y%3));
+ el.x2 = x + switchbox_x1;
+ el.y2 = y + switchbox_y1 + 0.0017f * (20 + (dst_id - TILE_WIRE_V02N0701) + 20 * (dst.location.y % 3));
}
if (dst_type == id_WIRE_TYPE_V06) {
el.x2 = x + switchbox_x1;
- el.y2 = y + switchbox_y1 + 0.0017f*(96 + (dst_id - TILE_WIRE_V06N0303)+ 10 *(dst.location.y%9));
+ el.y2 = y + switchbox_y1 + 0.0017f * (96 + (dst_id - TILE_WIRE_V06N0303) + 10 * (dst.location.y % 9));
}
if (dst_type == id_WIRE_TYPE_NONE) {
- if (dst_id >= TILE_WIRE_FCO && dst_id <=TILE_WIRE_FCI)
- {
- int gap = (dst_id - TILE_WIRE_FCO) / 24;
+ if (dst_id >= TILE_WIRE_FCO && dst_id <= TILE_WIRE_FCI) {
+ int gap = (dst_id - TILE_WIRE_FCO) / 24;
el.x2 = x + switchbox_x2;
- el.y2 = y + slice_y2 - 0.0017f * (dst_id - TILE_WIRE_FCO + 1 + gap*2) + 3*slice_pitch;
- }
+ el.y2 = y + slice_y2 - 0.0017f * (dst_id - TILE_WIRE_FCO + 1 + gap * 2) + 3 * slice_pitch;
+ }
}
}
-void straightLine(std::vector<GraphicElement> &g, GraphicElement &el,int x, int y, WireId src, IdString src_type, GfxTileWireId src_id,WireId dst, IdString dst_type, GfxTileWireId dst_id)
+void straightLine(std::vector<GraphicElement> &g, GraphicElement &el, int x, int y, WireId src, IdString src_type,
+ GfxTileWireId src_id, WireId dst, IdString dst_type, GfxTileWireId dst_id)
{
- setSource(el,x,y,src,src_type,src_id);
- setDestination(el,x,y,dst,dst_type,dst_id);
+ setSource(el, x, y, src, src_type, src_id);
+ setDestination(el, x, y, dst, dst_type, dst_id);
g.push_back(el);
}
-void toSameSideHor(std::vector<GraphicElement> &g, GraphicElement &el,int x, int y, WireId src, IdString src_type, GfxTileWireId src_id,WireId dst, IdString dst_type, GfxTileWireId dst_id, GraphicElement::style_t style, int idx)
+void toSameSideHor(std::vector<GraphicElement> &g, GraphicElement &el, int x, int y, WireId src, IdString src_type,
+ GfxTileWireId src_id, WireId dst, IdString dst_type, GfxTileWireId dst_id,
+ GraphicElement::style_t style, int idx)
{
- int sign = (src_type==dst_type) ? 1 : -1;
- setSource(el,x,y,src,src_type,src_id);
+ int sign = (src_type == dst_type) ? 1 : -1;
+ setSource(el, x, y, src, src_type, src_id);
el.x2 = el.x1;
- el.y2 = y + switchbox_y1 + (switchbox_y2-switchbox_y1)/2 + sign * 0.0017f*idx;
+ el.y2 = y + switchbox_y1 + (switchbox_y2 - switchbox_y1) / 2 + sign * 0.0017f * idx;
g.push_back(el);
-
- GraphicElement el2;
+
+ GraphicElement el2;
el2.type = GraphicElement::TYPE_ARROW;
el2.style = style;
- setDestination(el2,x,y,dst,dst_type,dst_id);
-
+ setDestination(el2, x, y, dst, dst_type, dst_id);
+
el.x1 = el2.x2;
el.y1 = el.y2;
g.push_back(el);
@@ -595,20 +612,22 @@ void toSameSideHor(std::vector<GraphicElement> &g, GraphicElement &el,int x, int
g.push_back(el2);
}
-void toSameSideVer(std::vector<GraphicElement> &g, GraphicElement &el,int x, int y, WireId src, IdString src_type, GfxTileWireId src_id,WireId dst, IdString dst_type, GfxTileWireId dst_id, GraphicElement::style_t style, int idx)
+void toSameSideVer(std::vector<GraphicElement> &g, GraphicElement &el, int x, int y, WireId src, IdString src_type,
+ GfxTileWireId src_id, WireId dst, IdString dst_type, GfxTileWireId dst_id,
+ GraphicElement::style_t style, int idx)
{
- int sign = (src_type==dst_type) ? 1 : -1;
- setSource(el,x,y,src,src_type,src_id);
- el.x2 = x + switchbox_x1 + (switchbox_x2-switchbox_x1)/2 + sign * 0.0017f*idx;
+ int sign = (src_type == dst_type) ? 1 : -1;
+ setSource(el, x, y, src, src_type, src_id);
+ el.x2 = x + switchbox_x1 + (switchbox_x2 - switchbox_x1) / 2 + sign * 0.0017f * idx;
el.y2 = el.y1;
g.push_back(el);
-
- GraphicElement el2;
+
+ GraphicElement el2;
el2.type = GraphicElement::TYPE_ARROW;
el2.style = style;
- setDestination(el2,x,y,dst,dst_type,dst_id);
-
+ setDestination(el2, x, y, dst, dst_type, dst_id);
+
el.x1 = el.x2;
el.y1 = el2.y2;
g.push_back(el);
@@ -618,19 +637,21 @@ void toSameSideVer(std::vector<GraphicElement> &g, GraphicElement &el,int x, int
g.push_back(el2);
}
-void toSameSideH1Ver(std::vector<GraphicElement> &g, GraphicElement &el,int x, int y, WireId src, IdString src_type, GfxTileWireId src_id,WireId dst, IdString dst_type, GfxTileWireId dst_id, GraphicElement::style_t style, int idx)
+void toSameSideH1Ver(std::vector<GraphicElement> &g, GraphicElement &el, int x, int y, WireId src, IdString src_type,
+ GfxTileWireId src_id, WireId dst, IdString dst_type, GfxTileWireId dst_id,
+ GraphicElement::style_t style, int idx)
{
- setSource(el,x,y,src,src_type,src_id);
- el.x2 = x + switchbox_x1 + (switchbox_x2-switchbox_x1)/2 - 0.0017f*idx;
+ setSource(el, x, y, src, src_type, src_id);
+ el.x2 = x + switchbox_x1 + (switchbox_x2 - switchbox_x1) / 2 - 0.0017f * idx;
el.y2 = el.y1;
g.push_back(el);
-
- GraphicElement el2;
+
+ GraphicElement el2;
el2.type = GraphicElement::TYPE_ARROW;
el2.style = style;
- setDestination(el2,x,y,dst,dst_type,dst_id);
-
+ setDestination(el2, x, y, dst, dst_type, dst_id);
+
el.x1 = el.x2;
el.y1 = el2.y2;
g.push_back(el);
@@ -640,15 +661,17 @@ void toSameSideH1Ver(std::vector<GraphicElement> &g, GraphicElement &el,int x, i
g.push_back(el2);
}
-void toSameSideH1Hor(std::vector<GraphicElement> &g, GraphicElement &el,int x, int y, WireId src, IdString src_type, GfxTileWireId src_id,WireId dst, IdString dst_type, GfxTileWireId dst_id, GraphicElement::style_t style, int idx)
+void toSameSideH1Hor(std::vector<GraphicElement> &g, GraphicElement &el, int x, int y, WireId src, IdString src_type,
+ GfxTileWireId src_id, WireId dst, IdString dst_type, GfxTileWireId dst_id,
+ GraphicElement::style_t style, int idx)
{
- setSource(el,x,y,src,src_type,src_id);
-
- GraphicElement el2;
+ setSource(el, x, y, src, src_type, src_id);
+
+ GraphicElement el2;
el2.type = GraphicElement::TYPE_ARROW;
el2.style = style;
- setDestination(el2,x,y,dst,dst_type,dst_id);
+ setDestination(el2, x, y, dst, dst_type, dst_id);
if (dst_type == id_WIRE_TYPE_H01 || src_type == id_WIRE_TYPE_V01 || dst_type == id_WIRE_TYPE_H00) {
el.x2 = el.x1;
el.y2 = el2.y2;
@@ -664,19 +687,21 @@ void toSameSideH1Hor(std::vector<GraphicElement> &g, GraphicElement &el,int x, i
g.push_back(el2);
}
-void toSameSideV1Ver(std::vector<GraphicElement> &g, GraphicElement &el,int x, int y, WireId src, IdString src_type, GfxTileWireId src_id,WireId dst, IdString dst_type, GfxTileWireId dst_id, GraphicElement::style_t style, int idx)
+void toSameSideV1Ver(std::vector<GraphicElement> &g, GraphicElement &el, int x, int y, WireId src, IdString src_type,
+ GfxTileWireId src_id, WireId dst, IdString dst_type, GfxTileWireId dst_id,
+ GraphicElement::style_t style, int idx)
{
- setSource(el,x,y,src,src_type,src_id);
+ setSource(el, x, y, src, src_type, src_id);
el.x2 = el.x1;
- el.y2 = y + switchbox_y1 + (switchbox_y2-switchbox_y1)/2 - 0.0017f*idx;
+ el.y2 = y + switchbox_y1 + (switchbox_y2 - switchbox_y1) / 2 - 0.0017f * idx;
g.push_back(el);
-
- GraphicElement el2;
+
+ GraphicElement el2;
el2.type = GraphicElement::TYPE_ARROW;
el2.style = style;
- setDestination(el2,x,y,dst,dst_type,dst_id);
-
+ setDestination(el2, x, y, dst, dst_type, dst_id);
+
el.x1 = el2.x2;
el.y1 = el.y2;
g.push_back(el);
@@ -685,150 +710,163 @@ void toSameSideV1Ver(std::vector<GraphicElement> &g, GraphicElement &el,int x, i
el2.y1 = el.y1;
g.push_back(el2);
}
-void gfxTilePip(std::vector<GraphicElement> &g, int x, int y, int w, int h, WireId src, IdString src_type, GfxTileWireId src_id, WireId dst, IdString dst_type, GfxTileWireId dst_id, GraphicElement::style_t style)
-{
+void gfxTilePip(std::vector<GraphicElement> &g, int x, int y, int w, int h, WireId src, IdString src_type,
+ GfxTileWireId src_id, WireId dst, IdString dst_type, GfxTileWireId dst_id,
+ GraphicElement::style_t style)
+{
GraphicElement el;
el.type = GraphicElement::TYPE_ARROW;
el.style = style;
// To H00
if (src_type == id_WIRE_TYPE_V02 && dst_type == id_WIRE_TYPE_H00) {
- toSameSideH1Ver(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id,style, dst_id - TILE_WIRE_H00L0000 + 30);
+ toSameSideH1Ver(g, el, x, y, src, src_type, src_id, dst, dst_type, dst_id, style,
+ dst_id - TILE_WIRE_H00L0000 + 30);
}
if (src_type == id_WIRE_TYPE_H02 && dst_type == id_WIRE_TYPE_H00) {
- //toSameSideH1Hor(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id,style, dst_id - TILE_WIRE_H00L0000);
- straightLine(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id);
+ // toSameSideH1Hor(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id,style, dst_id - TILE_WIRE_H00L0000);
+ straightLine(g, el, x, y, src, src_type, src_id, dst, dst_type, dst_id);
}
-
+
// To H01
if (src_type == id_WIRE_TYPE_H06 && dst_type == id_WIRE_TYPE_H01) {
- toSameSideH1Hor(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id,style, dst_id - TILE_WIRE_H01E0001);
+ toSameSideH1Hor(g, el, x, y, src, src_type, src_id, dst, dst_type, dst_id, style, dst_id - TILE_WIRE_H01E0001);
}
-
+
// To H02
if (src_type == id_WIRE_TYPE_H01 && dst_type == id_WIRE_TYPE_H02) {
- toSameSideH1Hor(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id,style, dst_id - TILE_WIRE_H02W0701);
+ toSameSideH1Hor(g, el, x, y, src, src_type, src_id, dst, dst_type, dst_id, style, dst_id - TILE_WIRE_H02W0701);
}
if (src_type == id_WIRE_TYPE_H02 && dst_type == id_WIRE_TYPE_H02) {
- toSameSideHor(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id,style,src_id - TILE_WIRE_H02W0701);
+ toSameSideHor(g, el, x, y, src, src_type, src_id, dst, dst_type, dst_id, style, src_id - TILE_WIRE_H02W0701);
}
if (src_type == id_WIRE_TYPE_H06 && dst_type == id_WIRE_TYPE_H02) {
- toSameSideHor(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id,style,src_id - TILE_WIRE_H06W0303);
- }
+ toSameSideHor(g, el, x, y, src, src_type, src_id, dst, dst_type, dst_id, style, src_id - TILE_WIRE_H06W0303);
+ }
if (src_type == id_WIRE_TYPE_V01 && dst_type == id_WIRE_TYPE_H02) {
if (y == src.location.y) {
- straightLine(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id);
+ straightLine(g, el, x, y, src, src_type, src_id, dst, dst_type, dst_id);
} else {
- toSameSideV1Ver(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id,style, dst_id - TILE_WIRE_H02W0701);
+ toSameSideV1Ver(g, el, x, y, src, src_type, src_id, dst, dst_type, dst_id, style,
+ dst_id - TILE_WIRE_H02W0701);
}
}
if (src_type == id_WIRE_TYPE_V02 && dst_type == id_WIRE_TYPE_H02) {
- straightLine(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id);
+ straightLine(g, el, x, y, src, src_type, src_id, dst, dst_type, dst_id);
}
if (src_type == id_WIRE_TYPE_V06 && dst_type == id_WIRE_TYPE_H02) {
- straightLine(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id);
+ straightLine(g, el, x, y, src, src_type, src_id, dst, dst_type, dst_id);
}
-
+
// To H06
if (src_type == id_WIRE_TYPE_H01 && dst_type == id_WIRE_TYPE_H06) {
- toSameSideH1Hor(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id,style, dst_id - TILE_WIRE_H06W0303);
+ toSameSideH1Hor(g, el, x, y, src, src_type, src_id, dst, dst_type, dst_id, style, dst_id - TILE_WIRE_H06W0303);
}
if (src_type == id_WIRE_TYPE_H02 && dst_type == id_WIRE_TYPE_H06) {
- toSameSideHor(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id,style,src_id - TILE_WIRE_H02W0701);
+ toSameSideHor(g, el, x, y, src, src_type, src_id, dst, dst_type, dst_id, style, src_id - TILE_WIRE_H02W0701);
}
if (src_type == id_WIRE_TYPE_H06 && dst_type == id_WIRE_TYPE_H06) {
- toSameSideHor(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id,style,src_id - TILE_WIRE_H06W0303);
+ toSameSideHor(g, el, x, y, src, src_type, src_id, dst, dst_type, dst_id, style, src_id - TILE_WIRE_H06W0303);
}
if (src_type == id_WIRE_TYPE_V01 && dst_type == id_WIRE_TYPE_H06) {
if (y == src.location.y) {
- straightLine(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id);
+ straightLine(g, el, x, y, src, src_type, src_id, dst, dst_type, dst_id);
} else {
- toSameSideV1Ver(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id,style, dst_id - TILE_WIRE_H06W0303);
+ toSameSideV1Ver(g, el, x, y, src, src_type, src_id, dst, dst_type, dst_id, style,
+ dst_id - TILE_WIRE_H06W0303);
}
}
if (src_type == id_WIRE_TYPE_V06 && dst_type == id_WIRE_TYPE_H06) {
- straightLine(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id);
+ straightLine(g, el, x, y, src, src_type, src_id, dst, dst_type, dst_id);
}
// To V00
if (src_type == id_WIRE_TYPE_V02 && dst_type == id_WIRE_TYPE_V00) {
- //toSameSideH1Hor(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id,style, dst_id - TILE_WIRE_V00T0000);
- straightLine(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id);
+ // toSameSideH1Hor(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id,style, dst_id - TILE_WIRE_V00T0000);
+ straightLine(g, el, x, y, src, src_type, src_id, dst, dst_type, dst_id);
}
if (src_type == id_WIRE_TYPE_H02 && dst_type == id_WIRE_TYPE_V00) {
- toSameSideV1Ver(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id,style, src_id - TILE_WIRE_H02W0701 + 20);
+ toSameSideV1Ver(g, el, x, y, src, src_type, src_id, dst, dst_type, dst_id, style,
+ src_id - TILE_WIRE_H02W0701 + 20);
}
// To V01
if (src_type == id_WIRE_TYPE_V06 && dst_type == id_WIRE_TYPE_V01) {
- toSameSideH1Hor(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id,style, dst_id - TILE_WIRE_V01N0001);
+ toSameSideH1Hor(g, el, x, y, src, src_type, src_id, dst, dst_type, dst_id, style, dst_id - TILE_WIRE_V01N0001);
}
// To V02
if (src_type == id_WIRE_TYPE_H01 && dst_type == id_WIRE_TYPE_V02) {
if (x == src.location.x) {
- toSameSideH1Ver(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id,style, dst_id - TILE_WIRE_V02N0701);
+ toSameSideH1Ver(g, el, x, y, src, src_type, src_id, dst, dst_type, dst_id, style,
+ dst_id - TILE_WIRE_V02N0701);
} else {
- straightLine(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id);
+ straightLine(g, el, x, y, src, src_type, src_id, dst, dst_type, dst_id);
}
}
if (src_type == id_WIRE_TYPE_H02 && dst_type == id_WIRE_TYPE_V02) {
- straightLine(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id);
+ straightLine(g, el, x, y, src, src_type, src_id, dst, dst_type, dst_id);
}
if (src_type == id_WIRE_TYPE_H06 && dst_type == id_WIRE_TYPE_V02) {
- straightLine(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id);
+ straightLine(g, el, x, y, src, src_type, src_id, dst, dst_type, dst_id);
}
if (src_type == id_WIRE_TYPE_V01 && dst_type == id_WIRE_TYPE_V02) {
- toSameSideH1Hor(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id,style, dst_id - TILE_WIRE_V02N0701);
+ toSameSideH1Hor(g, el, x, y, src, src_type, src_id, dst, dst_type, dst_id, style, dst_id - TILE_WIRE_V02N0701);
}
if (src_type == id_WIRE_TYPE_V02 && dst_type == id_WIRE_TYPE_V02) {
- toSameSideVer(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id,style,src_id - TILE_WIRE_V02N0701);
+ toSameSideVer(g, el, x, y, src, src_type, src_id, dst, dst_type, dst_id, style, src_id - TILE_WIRE_V02N0701);
}
if (src_type == id_WIRE_TYPE_V06 && dst_type == id_WIRE_TYPE_V02) {
- toSameSideVer(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id,style,src_id - TILE_WIRE_V06N0303);
+ toSameSideVer(g, el, x, y, src, src_type, src_id, dst, dst_type, dst_id, style, src_id - TILE_WIRE_V06N0303);
}
// To V06
if (src_type == id_WIRE_TYPE_H01 && dst_type == id_WIRE_TYPE_V06) {
if (x == src.location.x) {
- toSameSideH1Ver(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id,style, dst_id - TILE_WIRE_V06N0303);
+ toSameSideH1Ver(g, el, x, y, src, src_type, src_id, dst, dst_type, dst_id, style,
+ dst_id - TILE_WIRE_V06N0303);
} else {
- straightLine(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id);
+ straightLine(g, el, x, y, src, src_type, src_id, dst, dst_type, dst_id);
}
}
if (src_type == id_WIRE_TYPE_H06 && dst_type == id_WIRE_TYPE_V06) {
- straightLine(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id);
+ straightLine(g, el, x, y, src, src_type, src_id, dst, dst_type, dst_id);
}
if (src_type == id_WIRE_TYPE_V01 && dst_type == id_WIRE_TYPE_V06) {
- toSameSideH1Hor(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id,style, dst_id - TILE_WIRE_V06N0303);
+ toSameSideH1Hor(g, el, x, y, src, src_type, src_id, dst, dst_type, dst_id, style, dst_id - TILE_WIRE_V06N0303);
}
if (src_type == id_WIRE_TYPE_V02 && dst_type == id_WIRE_TYPE_V06) {
- toSameSideVer(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id,style,src_id - TILE_WIRE_V02N0701);
+ toSameSideVer(g, el, x, y, src, src_type, src_id, dst, dst_type, dst_id, style, src_id - TILE_WIRE_V02N0701);
}
if (src_type == id_WIRE_TYPE_V06 && dst_type == id_WIRE_TYPE_V06) {
- toSameSideVer(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id,style,src_id - TILE_WIRE_V06N0303);
+ toSameSideVer(g, el, x, y, src, src_type, src_id, dst, dst_type, dst_id, style, src_id - TILE_WIRE_V06N0303);
}
-
- if (src_type == id_WIRE_TYPE_H00 && dst_type == id_WIRE_TYPE_NONE && (dst_id >= TILE_WIRE_FCO && dst_id <=TILE_WIRE_FCI)) {
- toSameSideH1Ver(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id,style, dst_id - TILE_WIRE_FCO);
+
+ if (src_type == id_WIRE_TYPE_H00 && dst_type == id_WIRE_TYPE_NONE &&
+ (dst_id >= TILE_WIRE_FCO && dst_id <= TILE_WIRE_FCI)) {
+ toSameSideH1Ver(g, el, x, y, src, src_type, src_id, dst, dst_type, dst_id, style, dst_id - TILE_WIRE_FCO);
}
- if (src_type == id_WIRE_TYPE_H01 && dst_type == id_WIRE_TYPE_NONE && (dst_id >= TILE_WIRE_FCO && dst_id <=TILE_WIRE_FCI)) {
- toSameSideH1Ver(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id,style, dst_id - TILE_WIRE_FCO);
+ if (src_type == id_WIRE_TYPE_H01 && dst_type == id_WIRE_TYPE_NONE &&
+ (dst_id >= TILE_WIRE_FCO && dst_id <= TILE_WIRE_FCI)) {
+ toSameSideH1Ver(g, el, x, y, src, src_type, src_id, dst, dst_type, dst_id, style, dst_id - TILE_WIRE_FCO);
}
- if (src_type == id_WIRE_TYPE_H02 && dst_type == id_WIRE_TYPE_NONE && (dst_id >= TILE_WIRE_FCO && dst_id <=TILE_WIRE_FCI)) {
- straightLine(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id);
+ if (src_type == id_WIRE_TYPE_H02 && dst_type == id_WIRE_TYPE_NONE &&
+ (dst_id >= TILE_WIRE_FCO && dst_id <= TILE_WIRE_FCI)) {
+ straightLine(g, el, x, y, src, src_type, src_id, dst, dst_type, dst_id);
}
- if (src_type == id_WIRE_TYPE_V00 && dst_type == id_WIRE_TYPE_NONE && (dst_id >= TILE_WIRE_FCO && dst_id <=TILE_WIRE_FCI)) {
- straightLine(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id);
- }
- if (src_type == id_WIRE_TYPE_V01 && dst_type == id_WIRE_TYPE_NONE && (dst_id >= TILE_WIRE_FCO && dst_id <=TILE_WIRE_FCI)) {
- straightLine(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id);
+ if (src_type == id_WIRE_TYPE_V00 && dst_type == id_WIRE_TYPE_NONE &&
+ (dst_id >= TILE_WIRE_FCO && dst_id <= TILE_WIRE_FCI)) {
+ straightLine(g, el, x, y, src, src_type, src_id, dst, dst_type, dst_id);
}
- if (src_type == id_WIRE_TYPE_V02 && dst_type == id_WIRE_TYPE_NONE && (dst_id >= TILE_WIRE_FCO && dst_id <=TILE_WIRE_FCI)) {
- straightLine(g,el,x,y,src,src_type,src_id,dst,dst_type,dst_id);
+ if (src_type == id_WIRE_TYPE_V01 && dst_type == id_WIRE_TYPE_NONE &&
+ (dst_id >= TILE_WIRE_FCO && dst_id <= TILE_WIRE_FCI)) {
+ straightLine(g, el, x, y, src, src_type, src_id, dst, dst_type, dst_id);
+ }
+ if (src_type == id_WIRE_TYPE_V02 && dst_type == id_WIRE_TYPE_NONE &&
+ (dst_id >= TILE_WIRE_FCO && dst_id <= TILE_WIRE_FCI)) {
+ straightLine(g, el, x, y, src, src_type, src_id, dst, dst_type, dst_id);
}
-
}
NEXTPNR_NAMESPACE_END