aboutsummaryrefslogtreecommitdiffstats
path: root/ecp5/synth/blinky.ys
diff options
context:
space:
mode:
authorDavid Shah <davey1576@gmail.com>2018-07-08 12:35:27 +0200
committerDavid Shah <davey1576@gmail.com>2018-07-11 10:42:09 +0200
commitc33aa259ad822d9c4ce3f46922504a70e730704c (patch)
tree026d74c691cf431341b2e2dd8e6b793bbeb50c72 /ecp5/synth/blinky.ys
parent738b410bf84d5508942014a14344593ac99ce3b7 (diff)
downloadnextpnr-c33aa259ad822d9c4ce3f46922504a70e730704c.tar.gz
nextpnr-c33aa259ad822d9c4ce3f46922504a70e730704c.tar.bz2
nextpnr-c33aa259ad822d9c4ce3f46922504a70e730704c.zip
ecp5: Adding a simple prepacked synth script
Signed-off-by: David Shah <davey1576@gmail.com>
Diffstat (limited to 'ecp5/synth/blinky.ys')
-rw-r--r--ecp5/synth/blinky.ys9
1 files changed, 9 insertions, 0 deletions
diff --git a/ecp5/synth/blinky.ys b/ecp5/synth/blinky.ys
new file mode 100644
index 00000000..c0b74636
--- /dev/null
+++ b/ecp5/synth/blinky.ys
@@ -0,0 +1,9 @@
+read_verilog blinky.v
+read_verilog -lib cells.v
+synth -top top
+abc -lut 4
+techmap -map simple_map.v
+splitnets
+opt_clean
+stat
+write_json blinky.json