aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples/boards
diff options
context:
space:
mode:
authorgatecat <gatecat@ds0.me>2021-06-01 08:57:46 +0100
committergatecat <gatecat@ds0.me>2021-06-01 09:52:40 +0100
commit0426ba4e877c0ec1130d8ab7bc74e70cc4e406bf (patch)
treedd0776a3d57a596b15a3c6c52f7d203cb1094cc1 /fpga_interchange/examples/boards
parent24ae205f20f0e1a0326e48002ab14d5bacfca1ef (diff)
downloadnextpnr-0426ba4e877c0ec1130d8ab7bc74e70cc4e406bf.tar.gz
nextpnr-0426ba4e877c0ec1130d8ab7bc74e70cc4e406bf.tar.bz2
nextpnr-0426ba4e877c0ec1130d8ab7bc74e70cc4e406bf.zip
interchange: Add LIFCL-40 EVN tests
Signed-off-by: gatecat <gatecat@ds0.me>
Diffstat (limited to 'fpga_interchange/examples/boards')
-rw-r--r--fpga_interchange/examples/boards/CMakeLists.txt7
1 files changed, 7 insertions, 0 deletions
diff --git a/fpga_interchange/examples/boards/CMakeLists.txt b/fpga_interchange/examples/boards/CMakeLists.txt
index e5aae739..ef4a97c5 100644
--- a/fpga_interchange/examples/boards/CMakeLists.txt
+++ b/fpga_interchange/examples/boards/CMakeLists.txt
@@ -40,3 +40,10 @@ add_board(
device LIFCL-17
package QFN72
)
+
+add_board(
+ name lifcl40evn
+ device_family nexus
+ device LIFCL-40
+ package CABGA400
+)