aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples/tests.cmake
diff options
context:
space:
mode:
authorgatecat <gatecat@ds0.me>2021-04-06 10:48:04 +0100
committergatecat <gatecat@ds0.me>2021-04-30 14:15:37 +0100
commit49caad0b7b22f44039cdaaefa352fd6fea2ecf30 (patch)
treecf65fe8f8ee3538beb9a14008f69ce5f4db40b9a /fpga_interchange/examples/tests.cmake
parent0461cc8c3ac93bc525d35a15528c4711f244b9c6 (diff)
downloadnextpnr-49caad0b7b22f44039cdaaefa352fd6fea2ecf30.tar.gz
nextpnr-49caad0b7b22f44039cdaaefa352fd6fea2ecf30.tar.bz2
nextpnr-49caad0b7b22f44039cdaaefa352fd6fea2ecf30.zip
interchange/nexus: Add counter example
Signed-off-by: gatecat <gatecat@ds0.me>
Diffstat (limited to 'fpga_interchange/examples/tests.cmake')
-rw-r--r--fpga_interchange/examples/tests.cmake9
1 files changed, 8 insertions, 1 deletions
diff --git a/fpga_interchange/examples/tests.cmake b/fpga_interchange/examples/tests.cmake
index a5c31d6f..3c97fe26 100644
--- a/fpga_interchange/examples/tests.cmake
+++ b/fpga_interchange/examples/tests.cmake
@@ -321,6 +321,7 @@ function(add_interchange_group_test)
# sources <sources list>
# [top <top name>]
# [techmap <techmap file>]
+ # [skip_dcp]
# )
#
# Generates targets to run desired tests over multiple devices.
@@ -340,7 +341,7 @@ function(add_interchange_group_test)
# Note: it is assumed that there exists an XDC file for each board, with the following naming
# convention: <board>.xdc
- set(options output_fasm)
+ set(options output_fasm skip_dcp)
set(oneValueArgs name family tcl top techmap)
set(multiValueArgs sources board_list)
@@ -359,12 +360,17 @@ function(add_interchange_group_test)
set(techmap ${add_interchange_group_test_techmap})
set(sources ${add_interchange_group_test_sources})
set(output_fasm ${add_interchange_group_test_output_fasm})
+ set(skip_dcp ${add_interchange_group_test_skip_dcp})
set(output_fasm_arg "")
if(output_fasm)
set(output_fasm_arg "output_fasm")
endif()
+ set(skip_dcp_arg "")
+ if(skip_dcp)
+ set(skip_dcp_arg "skip_dcp")
+ endif()
if (NOT DEFINED top)
# Setting default top value
@@ -388,6 +394,7 @@ function(add_interchange_group_test)
top ${top}
techmap ${techmap}
${output_fasm_arg}
+ ${skip_dcp_arg}
)
endforeach()
endfunction()