aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples/tests/ff/ff_arty.xdc
diff options
context:
space:
mode:
authorAlessandro Comodi <acomodi@antmicro.com>2021-03-12 19:02:00 +0100
committerAlessandro Comodi <acomodi@antmicro.com>2021-03-16 15:39:02 +0100
commite5cc03965ef3959ed11cf811816422fd6a5a5cc1 (patch)
tree121ec29ddb0c513eb85e61936bcaff121ebef28e /fpga_interchange/examples/tests/ff/ff_arty.xdc
parent490fdb0a1c3bb78856d26be2186e4dca5d3d021f (diff)
downloadnextpnr-e5cc03965ef3959ed11cf811816422fd6a5a5cc1.tar.gz
nextpnr-e5cc03965ef3959ed11cf811816422fd6a5a5cc1.tar.bz2
nextpnr-e5cc03965ef3959ed11cf811816422fd6a5a5cc1.zip
fpga_interchange: chipdb: use generic patching function
Also moved the RapidWright invocation script path under a CMake variable Signed-off-by: Alessandro Comodi <acomodi@antmicro.com>
Diffstat (limited to 'fpga_interchange/examples/tests/ff/ff_arty.xdc')
0 files changed, 0 insertions, 0 deletions