aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples
diff options
context:
space:
mode:
authorKeith Rothman <537074+litghost@users.noreply.github.com>2021-02-18 16:51:36 -0800
committerKeith Rothman <537074+litghost@users.noreply.github.com>2021-02-23 14:09:27 -0800
commit15459cae91276f956d2a4734f42162d6afaf1128 (patch)
tree1d603225f6f934bcd480f7ce6a440e679e9ca75c /fpga_interchange/examples
parentcf554f9338db84fa0d12afd83e10f7791e62efa1 (diff)
downloadnextpnr-15459cae91276f956d2a4734f42162d6afaf1128.tar.gz
nextpnr-15459cae91276f956d2a4734f42162d6afaf1128.tar.bz2
nextpnr-15459cae91276f956d2a4734f42162d6afaf1128.zip
Initial working constant network support!
Signed-off-by: Keith Rothman <537074+litghost@users.noreply.github.com>
Diffstat (limited to 'fpga_interchange/examples')
-rw-r--r--fpga_interchange/examples/const_wire/wire.v4
-rw-r--r--fpga_interchange/examples/const_wire/wire.xdc4
2 files changed, 7 insertions, 1 deletions
diff --git a/fpga_interchange/examples/const_wire/wire.v b/fpga_interchange/examples/const_wire/wire.v
index 7905c92e..5b1ab692 100644
--- a/fpga_interchange/examples/const_wire/wire.v
+++ b/fpga_interchange/examples/const_wire/wire.v
@@ -1,6 +1,8 @@
-module top(output o, output o2);
+module top(output o, output o2, output o3, output o4);
assign o = 1'b0;
assign o2 = 1'b1;
+assign o3 = 1'b0;
+assign o4 = 1'b1;
endmodule
diff --git a/fpga_interchange/examples/const_wire/wire.xdc b/fpga_interchange/examples/const_wire/wire.xdc
index beab748e..0d96fc45 100644
--- a/fpga_interchange/examples/const_wire/wire.xdc
+++ b/fpga_interchange/examples/const_wire/wire.xdc
@@ -1,5 +1,9 @@
set_property PACKAGE_PIN N15 [get_ports o]
set_property PACKAGE_PIN N16 [get_ports o2]
+set_property PACKAGE_PIN P17 [get_ports o3]
+set_property PACKAGE_PIN R17 [get_ports o4]
set_property IOSTANDARD LVCMOS33 [get_ports o]
set_property IOSTANDARD LVCMOS33 [get_ports o2]
+set_property IOSTANDARD LVCMOS33 [get_ports o3]
+set_property IOSTANDARD LVCMOS33 [get_ports o4]