aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples
diff options
context:
space:
mode:
authorAlessandro Comodi <acomodi@antmicro.com>2021-03-12 19:03:02 +0100
committerAlessandro Comodi <acomodi@antmicro.com>2021-03-16 15:39:02 +0100
commitbd2da27e4e35e92ad91145921cf9c7d2c490a9df (patch)
tree95c4870522beeeed9ae1a61d8d1f4a44450231fd /fpga_interchange/examples
parente5cc03965ef3959ed11cf811816422fd6a5a5cc1 (diff)
downloadnextpnr-bd2da27e4e35e92ad91145921cf9c7d2c490a9df.tar.gz
nextpnr-bd2da27e4e35e92ad91145921cf9c7d2c490a9df.tar.bz2
nextpnr-bd2da27e4e35e92ad91145921cf9c7d2c490a9df.zip
fpga_interchange: tests: added comment and fixed XDC
Signed-off-by: Alessandro Comodi <acomodi@antmicro.com>
Diffstat (limited to 'fpga_interchange/examples')
-rw-r--r--fpga_interchange/examples/tests.cmake11
-rw-r--r--fpga_interchange/examples/tests/const_wire/CMakeLists.txt8
-rw-r--r--fpga_interchange/examples/tests/const_wire/wire_arty.xdc (renamed from fpga_interchange/examples/tests/const_wire/wire.xdc)0
-rw-r--r--fpga_interchange/examples/tests/const_wire/wire_basys3.xdc9
-rw-r--r--fpga_interchange/examples/tests/counter/CMakeLists.txt8
-rw-r--r--fpga_interchange/examples/tests/counter/counter_arty.xdc14
-rw-r--r--fpga_interchange/examples/tests/counter/counter_basys3.xdc (renamed from fpga_interchange/examples/tests/counter/counter.xdc)8
-rw-r--r--fpga_interchange/examples/tests/ff/CMakeLists.txt8
-rw-r--r--fpga_interchange/examples/tests/ff/ff_arty.xdc (renamed from fpga_interchange/examples/tests/ff/ff.xdc)0
-rw-r--r--fpga_interchange/examples/tests/ff/ff_basys3.xdc9
-rw-r--r--fpga_interchange/examples/tests/lut/CMakeLists.txt8
-rw-r--r--fpga_interchange/examples/tests/lut/lut_arty.xdc (renamed from fpga_interchange/examples/tests/lut/lut.xdc)0
-rw-r--r--fpga_interchange/examples/tests/lut/lut_basys3.xdc7
-rw-r--r--fpga_interchange/examples/tests/wire/CMakeLists.txt8
-rw-r--r--fpga_interchange/examples/tests/wire/wire_arty.xdc (renamed from fpga_interchange/examples/tests/wire/wire.xdc)0
-rw-r--r--fpga_interchange/examples/tests/wire/wire_basys3.xdc5
16 files changed, 74 insertions, 29 deletions
diff --git a/fpga_interchange/examples/tests.cmake b/fpga_interchange/examples/tests.cmake
index cb2ec483..4dc5ba48 100644
--- a/fpga_interchange/examples/tests.cmake
+++ b/fpga_interchange/examples/tests.cmake
@@ -9,7 +9,14 @@ function(add_interchange_test)
# top <top name>
# sources <sources list>
# )
- # ~~~
+ #
+ # Generates targets to run desired tests
+ #
+ # Targets generated:
+ # - test-fpga_interchange-<name>-json : synthesis output
+ # - test-fpga_interchange-<name>-netlist : interchange logical netlist
+ # - test-fpga_interchange-<name>-phys : interchange physical netlist
+ # - test-fpga_interchange-<name>-phys : design checkpoint with RapidWright
set(options)
set(oneValueArgs name device package tcl xdc top)
@@ -70,6 +77,7 @@ function(add_interchange_test)
DEPENDS
${synth_json}
${device_target}
+ ${device_loc}
)
add_custom_target(test-${family}-${name}-netlist DEPENDS ${netlist})
@@ -90,6 +98,7 @@ function(add_interchange_test)
DEPENDS
${netlist}
${chipdb_target}
+ ${chipdb_dir}/chipdb-${device}.bba
)
add_custom_target(test-${family}-${name}-phys DEPENDS ${phys})
diff --git a/fpga_interchange/examples/tests/const_wire/CMakeLists.txt b/fpga_interchange/examples/tests/const_wire/CMakeLists.txt
index 6dbeaae5..8a3c4375 100644
--- a/fpga_interchange/examples/tests/const_wire/CMakeLists.txt
+++ b/fpga_interchange/examples/tests/const_wire/CMakeLists.txt
@@ -1,17 +1,17 @@
add_interchange_test(
name const_wire_basys3
- device xc7a50t
+ device xc7a35t
package cpg236
tcl run.tcl
- xdc wire.xdc
+ xdc wire_basys3.xdc
sources wire.v
)
add_interchange_test(
name const_wire_arty
- device xc7a50t
+ device xc7a35t
package csg324
tcl run.tcl
- xdc wire.xdc
+ xdc wire_arty.xdc
sources wire.v
)
diff --git a/fpga_interchange/examples/tests/const_wire/wire.xdc b/fpga_interchange/examples/tests/const_wire/wire_arty.xdc
index 0d96fc45..0d96fc45 100644
--- a/fpga_interchange/examples/tests/const_wire/wire.xdc
+++ b/fpga_interchange/examples/tests/const_wire/wire_arty.xdc
diff --git a/fpga_interchange/examples/tests/const_wire/wire_basys3.xdc b/fpga_interchange/examples/tests/const_wire/wire_basys3.xdc
new file mode 100644
index 00000000..f8435580
--- /dev/null
+++ b/fpga_interchange/examples/tests/const_wire/wire_basys3.xdc
@@ -0,0 +1,9 @@
+set_property PACKAGE_PIN U16 [get_ports o]
+set_property PACKAGE_PIN E19 [get_ports o2]
+set_property PACKAGE_PIN U19 [get_ports o3]
+set_property PACKAGE_PIN V19 [get_ports o4]
+
+set_property IOSTANDARD LVCMOS33 [get_ports o]
+set_property IOSTANDARD LVCMOS33 [get_ports o2]
+set_property IOSTANDARD LVCMOS33 [get_ports o3]
+set_property IOSTANDARD LVCMOS33 [get_ports o4]
diff --git a/fpga_interchange/examples/tests/counter/CMakeLists.txt b/fpga_interchange/examples/tests/counter/CMakeLists.txt
index ac180070..60375770 100644
--- a/fpga_interchange/examples/tests/counter/CMakeLists.txt
+++ b/fpga_interchange/examples/tests/counter/CMakeLists.txt
@@ -1,17 +1,17 @@
add_interchange_test(
name counter_basys3
- device xc7a50t
+ device xc7a35t
package cpg236
tcl run.tcl
- xdc counter.xdc
+ xdc counter_basys3.xdc
sources counter.v
)
add_interchange_test(
name counter_arty
- device xc7a50t
+ device xc7a35t
package csg324
tcl run.tcl
- xdc counter.xdc
+ xdc counter_arty.xdc
sources counter.v
)
diff --git a/fpga_interchange/examples/tests/counter/counter_arty.xdc b/fpga_interchange/examples/tests/counter/counter_arty.xdc
new file mode 100644
index 00000000..c6873df5
--- /dev/null
+++ b/fpga_interchange/examples/tests/counter/counter_arty.xdc
@@ -0,0 +1,14 @@
+## basys3 breakout board
+set_property PACKAGE_PIN E3 [get_ports clk]
+set_property PACKAGE_PIN C2 [get_ports rst]
+set_property PACKAGE_PIN N15 [get_ports io_led[4]]
+set_property PACKAGE_PIN N16 [get_ports io_led[5]]
+set_property PACKAGE_PIN P17 [get_ports io_led[6]]
+set_property PACKAGE_PIN R17 [get_ports io_led[7]]
+
+set_property IOSTANDARD LVCMOS33 [get_ports clk]
+set_property IOSTANDARD LVCMOS33 [get_ports rst]
+set_property IOSTANDARD LVCMOS33 [get_ports io_led[4]]
+set_property IOSTANDARD LVCMOS33 [get_ports io_led[5]]
+set_property IOSTANDARD LVCMOS33 [get_ports io_led[6]]
+set_property IOSTANDARD LVCMOS33 [get_ports io_led[7]]
diff --git a/fpga_interchange/examples/tests/counter/counter.xdc b/fpga_interchange/examples/tests/counter/counter_basys3.xdc
index 7cbe67f6..09446b5f 100644
--- a/fpga_interchange/examples/tests/counter/counter.xdc
+++ b/fpga_interchange/examples/tests/counter/counter_basys3.xdc
@@ -1,10 +1,6 @@
## basys3 breakout board
set_property PACKAGE_PIN W5 [get_ports clk]
set_property PACKAGE_PIN V17 [get_ports rst]
-#set_property PACKAGE_PIN U16 [get_ports io_led[0]]
-#set_property PACKAGE_PIN E19 [get_ports io_led[1]]
-#set_property PACKAGE_PIN U19 [get_ports io_led[2]]
-#set_property PACKAGE_PIN V19 [get_ports io_led[3]]
set_property PACKAGE_PIN U16 [get_ports io_led[4]]
set_property PACKAGE_PIN E19 [get_ports io_led[5]]
set_property PACKAGE_PIN U19 [get_ports io_led[6]]
@@ -16,7 +12,3 @@ set_property IOSTANDARD LVCMOS33 [get_ports io_led[4]]
set_property IOSTANDARD LVCMOS33 [get_ports io_led[5]]
set_property IOSTANDARD LVCMOS33 [get_ports io_led[6]]
set_property IOSTANDARD LVCMOS33 [get_ports io_led[7]]
-#set_property IOSTANDARD LVCMOS33 [get_ports io_led[0]]
-#set_property IOSTANDARD LVCMOS33 [get_ports io_led[1]]
-#set_property IOSTANDARD LVCMOS33 [get_ports io_led[2]]
-#set_property IOSTANDARD LVCMOS33 [get_ports io_led[3]]
diff --git a/fpga_interchange/examples/tests/ff/CMakeLists.txt b/fpga_interchange/examples/tests/ff/CMakeLists.txt
index 30ae0417..953e6038 100644
--- a/fpga_interchange/examples/tests/ff/CMakeLists.txt
+++ b/fpga_interchange/examples/tests/ff/CMakeLists.txt
@@ -1,17 +1,17 @@
add_interchange_test(
name ff_basys3
- device xc7a50t
+ device xc7a35t
package cpg236
tcl run.tcl
- xdc ff.xdc
+ xdc ff_basys3.xdc
sources ff.v
)
add_interchange_test(
name ff_arty
- device xc7a50t
+ device xc7a35t
package csg324
tcl run.tcl
- xdc ff.xdc
+ xdc ff_arty.xdc
sources ff.v
)
diff --git a/fpga_interchange/examples/tests/ff/ff.xdc b/fpga_interchange/examples/tests/ff/ff_arty.xdc
index 3c132f1d..3c132f1d 100644
--- a/fpga_interchange/examples/tests/ff/ff.xdc
+++ b/fpga_interchange/examples/tests/ff/ff_arty.xdc
diff --git a/fpga_interchange/examples/tests/ff/ff_basys3.xdc b/fpga_interchange/examples/tests/ff/ff_basys3.xdc
new file mode 100644
index 00000000..ef65112a
--- /dev/null
+++ b/fpga_interchange/examples/tests/ff/ff_basys3.xdc
@@ -0,0 +1,9 @@
+set_property PACKAGE_PIN W5 [get_ports clk]
+set_property PACKAGE_PIN U16 [get_ports d]
+set_property PACKAGE_PIN E19 [get_ports r]
+set_property PACKAGE_PIN U19 [get_ports q]
+
+set_property IOSTANDARD LVCMOS33 [get_ports clk]
+set_property IOSTANDARD LVCMOS33 [get_ports d]
+set_property IOSTANDARD LVCMOS33 [get_ports r]
+set_property IOSTANDARD LVCMOS33 [get_ports q]
diff --git a/fpga_interchange/examples/tests/lut/CMakeLists.txt b/fpga_interchange/examples/tests/lut/CMakeLists.txt
index ac504351..47b6f389 100644
--- a/fpga_interchange/examples/tests/lut/CMakeLists.txt
+++ b/fpga_interchange/examples/tests/lut/CMakeLists.txt
@@ -1,17 +1,17 @@
add_interchange_test(
name lut_basys3
- device xc7a50t
+ device xc7a35t
package cpg236
tcl run.tcl
- xdc lut.xdc
+ xdc lut_basys3.xdc
sources lut.v
)
add_interchange_test(
name lut_arty
- device xc7a50t
+ device xc7a35t
package csg324
tcl run.tcl
- xdc lut.xdc
+ xdc lut_arty.xdc
sources lut.v
)
diff --git a/fpga_interchange/examples/tests/lut/lut.xdc b/fpga_interchange/examples/tests/lut/lut_arty.xdc
index 4f390f25..4f390f25 100644
--- a/fpga_interchange/examples/tests/lut/lut.xdc
+++ b/fpga_interchange/examples/tests/lut/lut_arty.xdc
diff --git a/fpga_interchange/examples/tests/lut/lut_basys3.xdc b/fpga_interchange/examples/tests/lut/lut_basys3.xdc
new file mode 100644
index 00000000..aef287ee
--- /dev/null
+++ b/fpga_interchange/examples/tests/lut/lut_basys3.xdc
@@ -0,0 +1,7 @@
+set_property PACKAGE_PIN V17 [get_ports i0]
+set_property PACKAGE_PIN V16 [get_ports i1]
+set_property PACKAGE_PIN U16 [get_ports o]
+
+set_property IOSTANDARD LVCMOS33 [get_ports i0]
+set_property IOSTANDARD LVCMOS33 [get_ports i1]
+set_property IOSTANDARD LVCMOS33 [get_ports o]
diff --git a/fpga_interchange/examples/tests/wire/CMakeLists.txt b/fpga_interchange/examples/tests/wire/CMakeLists.txt
index 1d3b36ac..9af3f0db 100644
--- a/fpga_interchange/examples/tests/wire/CMakeLists.txt
+++ b/fpga_interchange/examples/tests/wire/CMakeLists.txt
@@ -1,17 +1,17 @@
add_interchange_test(
name wire_basys3
- device xc7a50t
+ device xc7a35t
package cpg236
tcl run.tcl
- xdc wire.xdc
+ xdc wire_basys3.xdc
sources wire.v
)
add_interchange_test(
name wire_arty
- device xc7a50t
+ device xc7a35t
package csg324
tcl run.tcl
- xdc wire.xdc
+ xdc wire_arty.xdc
sources wire.v
)
diff --git a/fpga_interchange/examples/tests/wire/wire.xdc b/fpga_interchange/examples/tests/wire/wire_arty.xdc
index c923f0fc..c923f0fc 100644
--- a/fpga_interchange/examples/tests/wire/wire.xdc
+++ b/fpga_interchange/examples/tests/wire/wire_arty.xdc
diff --git a/fpga_interchange/examples/tests/wire/wire_basys3.xdc b/fpga_interchange/examples/tests/wire/wire_basys3.xdc
new file mode 100644
index 00000000..317d5acc
--- /dev/null
+++ b/fpga_interchange/examples/tests/wire/wire_basys3.xdc
@@ -0,0 +1,5 @@
+set_property PACKAGE_PIN V17 [get_ports i]
+set_property PACKAGE_PIN U16 [get_ports o]
+
+set_property IOSTANDARD LVCMOS33 [get_ports i]
+set_property IOSTANDARD LVCMOS33 [get_ports o]