aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/luts.h
diff options
context:
space:
mode:
authorgatecat <gatecat@ds0.me>2021-07-01 11:08:36 +0100
committergatecat <gatecat@ds0.me>2021-07-01 11:28:12 +0100
commit523ffbaa37a367557da434752cb286643e24b627 (patch)
treee84b3942906526958d223f7d62b53f62571c82d7 /fpga_interchange/luts.h
parent2124da44d87353dd0c7b0d2bf57ddf7789d9c39c (diff)
downloadnextpnr-523ffbaa37a367557da434752cb286643e24b627.tar.gz
nextpnr-523ffbaa37a367557da434752cb286643e24b627.tar.bz2
nextpnr-523ffbaa37a367557da434752cb286643e24b627.zip
interchange: Reserve site ports only reachable from dedicated routing
Signed-off-by: gatecat <gatecat@ds0.me>
Diffstat (limited to 'fpga_interchange/luts.h')
0 files changed, 0 insertions, 0 deletions