aboutsummaryrefslogtreecommitdiffstats
path: root/generic/examples/blinky.v
diff options
context:
space:
mode:
authorDavid Shah <dave@ds0.me>2019-11-27 15:17:53 +0000
committerDavid Shah <dave@ds0.me>2019-11-27 15:17:53 +0000
commit2f56b989598def4682b29ccfe3bbe6f540e4e12a (patch)
treef6b1878164c1341511b69872bdabd32ed2ccf8f0 /generic/examples/blinky.v
parent6562edc98e212516f466a70e949c71b2d48cc75d (diff)
downloadnextpnr-2f56b989598def4682b29ccfe3bbe6f540e4e12a.tar.gz
nextpnr-2f56b989598def4682b29ccfe3bbe6f540e4e12a.tar.bz2
nextpnr-2f56b989598def4682b29ccfe3bbe6f540e4e12a.zip
generic: Add support for post-PnR simulation
Signed-off-by: David Shah <dave@ds0.me>
Diffstat (limited to 'generic/examples/blinky.v')
-rw-r--r--generic/examples/blinky.v13
1 files changed, 8 insertions, 5 deletions
diff --git a/generic/examples/blinky.v b/generic/examples/blinky.v
index b7cb1b86..42becb72 100644
--- a/generic/examples/blinky.v
+++ b/generic/examples/blinky.v
@@ -1,9 +1,12 @@
-module top(input clk, output reg [7:0] leds);
+module top(input clk, rst, output reg [7:0] leds);
-reg [25:0] ctr;
+reg [7:0] ctr;
always @(posedge clk)
- ctr <= ctr + 1'b1;
+ if (rst)
+ ctr <= 8'h00;
+ else
+ ctr <= ctr + 1'b1;
-assign leds = ctr[25:18];
+assign leds = ctr;
-endmodule \ No newline at end of file
+endmodule