aboutsummaryrefslogtreecommitdiffstats
path: root/generic/synth/synth_generic.tcl
diff options
context:
space:
mode:
authorDavid Shah <dave@ds0.me>2019-04-01 20:16:29 +0100
committerDavid Shah <dave@ds0.me>2019-04-02 15:30:01 +0100
commit32327b761ab8b8c438bd91d6c32f061ffaed3454 (patch)
tree22d0674b10e29634cf072058ae0bafcd3e2d9149 /generic/synth/synth_generic.tcl
parent6a383cd4c57db1f8bab6416daffdb24c0eb093c6 (diff)
downloadnextpnr-32327b761ab8b8c438bd91d6c32f061ffaed3454.tar.gz
nextpnr-32327b761ab8b8c438bd91d6c32f061ffaed3454.tar.bz2
nextpnr-32327b761ab8b8c438bd91d6c32f061ffaed3454.zip
generic: Simple working example
Signed-off-by: David Shah <dave@ds0.me>
Diffstat (limited to 'generic/synth/synth_generic.tcl')
-rw-r--r--generic/synth/synth_generic.tcl2
1 files changed, 1 insertions, 1 deletions
diff --git a/generic/synth/synth_generic.tcl b/generic/synth/synth_generic.tcl
index c5950788..e5d88e0d 100644
--- a/generic/synth/synth_generic.tcl
+++ b/generic/synth/synth_generic.tcl
@@ -14,7 +14,7 @@ yosys memory_map
yosys opt -full
yosys techmap -map +/techmap.v
yosys opt -fast
-yosys abc -lut $LUT_K
+yosys abc -lut $LUT_K -dress
yosys clean
yosys techmap -D LUT_K=$LUT_K -map [file dirname [file normalize $argv0]]/cells_map.v
yosys clean