aboutsummaryrefslogtreecommitdiffstats
path: root/ice40/blinky.v
diff options
context:
space:
mode:
authorDavid Shah <dave@ds0.me>2018-12-14 13:41:28 +0000
committerDavid Shah <dave@ds0.me>2019-03-22 10:31:54 +0000
commit1780f42b9a7854a8a7bf1f2d6589d3d35f133f87 (patch)
tree2e668d93ac8b58b908ef32667f689b5a5718af60 /ice40/blinky.v
parent0118ac00c45c725a42b16697d1ac975f1be6f3b9 (diff)
downloadnextpnr-1780f42b9a7854a8a7bf1f2d6589d3d35f133f87.tar.gz
nextpnr-1780f42b9a7854a8a7bf1f2d6589d3d35f133f87.tar.bz2
nextpnr-1780f42b9a7854a8a7bf1f2d6589d3d35f133f87.zip
ice40: Add examples folder including floorplan example
Signed-off-by: David Shah <dave@ds0.me>
Diffstat (limited to 'ice40/blinky.v')
-rw-r--r--ice40/blinky.v27
1 files changed, 0 insertions, 27 deletions
diff --git a/ice40/blinky.v b/ice40/blinky.v
deleted file mode 100644
index 36eaee86..00000000
--- a/ice40/blinky.v
+++ /dev/null
@@ -1,27 +0,0 @@
-module blinky (
- input clki,
- output led1,
- output led2,
- output led3,
- output led4,
- output led5
-);
-
- SB_GB clk_gb (
- .USER_SIGNAL_TO_GLOBAL_BUFFER(clki),
- .GLOBAL_BUFFER_OUTPUT(clk)
- );
-
- localparam BITS = 5;
- localparam LOG2DELAY = 21;
-
- reg [BITS+LOG2DELAY-1:0] counter = 0;
- reg [BITS-1:0] outcnt;
-
- always @(posedge clk) begin
- counter <= counter + 1;
- outcnt <= counter >> LOG2DELAY;
- end
-
- assign {led1, led2, led3, led4, led5} = outcnt ^ (outcnt >> 1);
-endmodule