aboutsummaryrefslogtreecommitdiffstats
path: root/ice40/blinky.v
diff options
context:
space:
mode:
authorDavid Shah <davey1576@gmail.com>2018-06-13 12:55:08 +0200
committerDavid Shah <davey1576@gmail.com>2018-06-13 12:55:08 +0200
commit4694c6aae7656b22fa94db9a252ed046faf40244 (patch)
tree3001dead822fd697eb30da58c204cd7ab0c83aca /ice40/blinky.v
parent1e314cc0ced067d72cea54c8225cf9d3ed0b6c14 (diff)
downloadnextpnr-4694c6aae7656b22fa94db9a252ed046faf40244.tar.gz
nextpnr-4694c6aae7656b22fa94db9a252ed046faf40244.tar.bz2
nextpnr-4694c6aae7656b22fa94db9a252ed046faf40244.zip
ice40: Update examples to use packer/pcf
Signed-off-by: David Shah <davey1576@gmail.com>
Diffstat (limited to 'ice40/blinky.v')
-rw-r--r--ice40/blinky.v123
1 files changed, 6 insertions, 117 deletions
diff --git a/ice40/blinky.v b/ice40/blinky.v
index 72bcd662..a45021d2 100644
--- a/ice40/blinky.v
+++ b/ice40/blinky.v
@@ -1,128 +1,17 @@
module blinky (
- input clk_pin,
- output led1_pin,
- output led2_pin,
- output led3_pin,
- output led4_pin,
- output led5_pin
+ input clki,
+ output led1,
+ output led2,
+ output led3,
+ output led4,
+ output led5
);
- wire clk, clki;
SB_GB clk_gb (
.USER_SIGNAL_TO_GLOBAL_BUFFER(clki),
.GLOBAL_BUFFER_OUTPUT(clk)
);
- wire led1, led2, led3, led4, led5;
-
- (* BEL="13_12_io1" *)
- SB_IO #(
- .PIN_TYPE(6'b 0110_01),
- .PULLUP(1'b0),
- .NEG_TRIGGER(1'b0)
- ) led1_iob (
- .PACKAGE_PIN(led1_pin),
- .LATCH_INPUT_VALUE(),
- .CLOCK_ENABLE(),
- .INPUT_CLK(),
- .OUTPUT_CLK(),
- .OUTPUT_ENABLE(),
- .D_OUT_0(led1),
- .D_OUT_1(),
- .D_IN_0(),
- .D_IN_1()
- );
-
- (* BEL="13_12_io0" *)
- SB_IO #(
- .PIN_TYPE(6'b 0110_01),
- .PULLUP(1'b0),
- .NEG_TRIGGER(1'b0)
- ) led2_iob (
- .PACKAGE_PIN(led2_pin),
- .LATCH_INPUT_VALUE(),
- .CLOCK_ENABLE(),
- .INPUT_CLK(),
- .OUTPUT_CLK(),
- .OUTPUT_ENABLE(),
- .D_OUT_0(led2),
- .D_OUT_1(),
- .D_IN_0(),
- .D_IN_1()
- );
-
- (* BEL="13_11_io1" *)
- SB_IO #(
- .PIN_TYPE(6'b 0110_01),
- .PULLUP(1'b0),
- .NEG_TRIGGER(1'b0)
- ) led3_iob (
- .PACKAGE_PIN(led3_pin),
- .LATCH_INPUT_VALUE(),
- .CLOCK_ENABLE(),
- .INPUT_CLK(),
- .OUTPUT_CLK(),
- .OUTPUT_ENABLE(),
- .D_OUT_0(led3),
- .D_OUT_1(),
- .D_IN_0(),
- .D_IN_1()
- );
-
- (* BEL="13_11_io0" *)
- SB_IO #(
- .PIN_TYPE(6'b 0110_01),
- .PULLUP(1'b0),
- .NEG_TRIGGER(1'b0)
- ) led4_iob (
- .PACKAGE_PIN(led4_pin),
- .LATCH_INPUT_VALUE(),
- .CLOCK_ENABLE(),
- .INPUT_CLK(),
- .OUTPUT_CLK(),
- .OUTPUT_ENABLE(),
- .D_OUT_0(led4),
- .D_OUT_1(),
- .D_IN_0(),
- .D_IN_1()
- );
-
- (* BEL="13_9_io1" *)
- SB_IO #(
- .PIN_TYPE(6'b 0110_01),
- .PULLUP(1'b0),
- .NEG_TRIGGER(1'b0)
- ) led5_iob (
- .PACKAGE_PIN(led5_pin),
- .LATCH_INPUT_VALUE(),
- .CLOCK_ENABLE(),
- .INPUT_CLK(),
- .OUTPUT_CLK(),
- .OUTPUT_ENABLE(),
- .D_OUT_0(led5),
- .D_OUT_1(),
- .D_IN_0(),
- .D_IN_1()
- );
-
- (* BEL="0_8_io1" *)
- SB_IO #(
- .PIN_TYPE(6'b 0000_01),
- .PULLUP(1'b0),
- .NEG_TRIGGER(1'b0)
- ) clk_iob (
- .PACKAGE_PIN(clk_pin),
- .LATCH_INPUT_VALUE(),
- .CLOCK_ENABLE(),
- .INPUT_CLK(),
- .OUTPUT_CLK(),
- .OUTPUT_ENABLE(),
- .D_OUT_0(),
- .D_OUT_1(),
- .D_IN_0(clki),
- .D_IN_1()
- );
-
localparam BITS = 5;
localparam LOG2DELAY = 22;