aboutsummaryrefslogtreecommitdiffstats
path: root/ice40/blinky_map.v
diff options
context:
space:
mode:
authorDavid Shah <davey1576@gmail.com>2018-06-13 12:55:08 +0200
committerDavid Shah <davey1576@gmail.com>2018-06-13 12:55:08 +0200
commit4694c6aae7656b22fa94db9a252ed046faf40244 (patch)
tree3001dead822fd697eb30da58c204cd7ab0c83aca /ice40/blinky_map.v
parent1e314cc0ced067d72cea54c8225cf9d3ed0b6c14 (diff)
downloadnextpnr-4694c6aae7656b22fa94db9a252ed046faf40244.tar.gz
nextpnr-4694c6aae7656b22fa94db9a252ed046faf40244.tar.bz2
nextpnr-4694c6aae7656b22fa94db9a252ed046faf40244.zip
ice40: Update examples to use packer/pcf
Signed-off-by: David Shah <davey1576@gmail.com>
Diffstat (limited to 'ice40/blinky_map.v')
-rw-r--r--ice40/blinky_map.v86
1 files changed, 0 insertions, 86 deletions
diff --git a/ice40/blinky_map.v b/ice40/blinky_map.v
deleted file mode 100644
index 9d8bf65e..00000000
--- a/ice40/blinky_map.v
+++ /dev/null
@@ -1,86 +0,0 @@
-module \$_DFF_P_ (input D, C, output Q);
- ICESTORM_LC #(
- .LUT_INIT(2),
- .NEG_CLK(0),
- .CARRY_ENABLE(0),
- .DFF_ENABLE(1),
- .SET_NORESET(0),
- .ASYNC_SR(0)
- ) _TECHMAP_REPLACE_ (
- .I0(D),
- .CLK(C),
- .O(Q),
-
- .I1(),
- .I2(),
- .I3(),
- .CIN(),
- .CEN(),
- .SR(),
- .LO(),
- .COUT()
- );
-endmodule
-
-module \$lut (A, Y);
- parameter WIDTH = 0;
- parameter LUT = 0;
-
- input [WIDTH-1:0] A;
- output Y;
-
- generate
- if (WIDTH == 1) begin
- ICESTORM_LC #(
- .LUT_INIT(LUT),
- .NEG_CLK(0),
- .CARRY_ENABLE(0),
- .DFF_ENABLE(0),
- .SET_NORESET(0),
- .ASYNC_SR(0)
- ) _TECHMAP_REPLACE_ (
- .I0(A[0]), .I1(), .I2(), .I3(), .O(Y),
- .CLK(), .CIN(), .CEN(), .SR(), .LO(), .COUT()
- );
- end
- if (WIDTH == 2) begin
- ICESTORM_LC #(
- .LUT_INIT(LUT),
- .NEG_CLK(0),
- .CARRY_ENABLE(0),
- .DFF_ENABLE(0),
- .SET_NORESET(0),
- .ASYNC_SR(0)
- ) _TECHMAP_REPLACE_ (
- .I0(A[0]), .I1(A[1]), .I2(), .I3(), .O(Y),
- .CLK(), .CIN(), .CEN(), .SR(), .LO(), .COUT()
- );
- end
- if (WIDTH == 3) begin
- ICESTORM_LC #(
- .LUT_INIT(LUT),
- .NEG_CLK(0),
- .CARRY_ENABLE(0),
- .DFF_ENABLE(0),
- .SET_NORESET(0),
- .ASYNC_SR(0)
- ) _TECHMAP_REPLACE_ (
- .I0(A[0]), .I1(A[1]), .I2(A[2]), .I3(), .O(Y),
- .CLK(), .CIN(), .CEN(), .SR(), .LO(), .COUT()
- );
- end
- if (WIDTH == 4) begin
- ICESTORM_LC #(
- .LUT_INIT(LUT),
- .NEG_CLK(0),
- .CARRY_ENABLE(0),
- .DFF_ENABLE(0),
- .SET_NORESET(0),
- .ASYNC_SR(0)
- ) _TECHMAP_REPLACE_ (
- .I0(A[0]), .I1(A[1]), .I2(A[2]), .I3(A[3]), .O(Y),
- .CLK(), .CIN(), .CEN(), .SR(), .LO(), .COUT()
- );
- end
- endgenerate
-endmodule