aboutsummaryrefslogtreecommitdiffstats
path: root/ice40/blinky_tb.v
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2018-06-10 14:31:38 +0200
committerClifford Wolf <clifford@clifford.at>2018-06-10 14:31:38 +0200
commit032c94d094b74bec922004cbbac0dcb2ae734839 (patch)
tree704a2a2dd580548c640fc5228705b7b8894c7c5e /ice40/blinky_tb.v
parent4a79e70470987ba54a16ab97eb25d664509550fc (diff)
downloadnextpnr-032c94d094b74bec922004cbbac0dcb2ae734839.tar.gz
nextpnr-032c94d094b74bec922004cbbac0dcb2ae734839.tar.bz2
nextpnr-032c94d094b74bec922004cbbac0dcb2ae734839.zip
Add blinky post-synthesis testbench
Signed-off-by: Clifford Wolf <clifford@clifford.at>
Diffstat (limited to 'ice40/blinky_tb.v')
-rw-r--r--ice40/blinky_tb.v15
1 files changed, 15 insertions, 0 deletions
diff --git a/ice40/blinky_tb.v b/ice40/blinky_tb.v
new file mode 100644
index 00000000..300c8a37
--- /dev/null
+++ b/ice40/blinky_tb.v
@@ -0,0 +1,15 @@
+module blinky_tb;
+ reg clk;
+ always #5 clk = (clk === 1'b0);
+
+ chip uut (
+ .io_0_8_1(clk)
+ );
+
+ initial begin
+ $dumpfile("blinky_tb.vcd");
+ $dumpvars(0, blinky_tb);
+ repeat (9000000) @(posedge clk);
+ $finish;
+ end
+endmodule