aboutsummaryrefslogtreecommitdiffstats
path: root/ice40/carry_tests/test.sh
diff options
context:
space:
mode:
authorDavid Shah <davey1576@gmail.com>2018-06-26 16:04:10 +0200
committerDavid Shah <davey1576@gmail.com>2018-06-26 16:04:10 +0200
commit841d126672112e65450322c5f905bbf22b7dfa54 (patch)
tree5eed7149e47d668ccd38e4bfa281c537c2005ef5 /ice40/carry_tests/test.sh
parent95de0a36b43776ffd07993ff7d2be994981aa8fb (diff)
downloadnextpnr-841d126672112e65450322c5f905bbf22b7dfa54.tar.gz
nextpnr-841d126672112e65450322c5f905bbf22b7dfa54.tar.bz2
nextpnr-841d126672112e65450322c5f905bbf22b7dfa54.zip
CarryInSet added to bitstream gen, add counter tb
Signed-off-by: David Shah <davey1576@gmail.com>
Diffstat (limited to 'ice40/carry_tests/test.sh')
-rwxr-xr-xice40/carry_tests/test.sh15
1 files changed, 4 insertions, 11 deletions
diff --git a/ice40/carry_tests/test.sh b/ice40/carry_tests/test.sh
index 9f6b00b2..01aa4209 100755
--- a/ice40/carry_tests/test.sh
+++ b/ice40/carry_tests/test.sh
@@ -2,15 +2,8 @@
set -ex
NAME=${1%.v}
yosys -p "synth_ice40 -top top; write_json ${NAME}.json" $1
-../../nextpnr-ice40 --force --json ${NAME}.json --pcf test.pcf --asc ${NAME}.asc --verbose ../../python/dump_design.py
-#icebox_vlog -p test.pcf ${NAME}.asc > ${NAME}_out.v
+../../nextpnr-ice40 --json ${NAME}.json --pcf test.pcf --asc ${NAME}.asc --verbose
+icebox_vlog -p test.pcf ${NAME}.asc > ${NAME}_out.v
+iverilog -o ${NAME}_sim.out ${NAME}_tb.v ${NAME}_out.v
+vvp ${NAME}_sim.out
-#yosys -p "read_verilog +/ice40/cells_sim.v;\
-# rename chip gate;\
-# read_verilog $1;\
-# rename top gold;\
-# hierarchy;\
-# proc;\
-# clk2fflogic;\
-# miter -equiv -flatten -ignore_gold_x -make_outputs -make_outcmp gold gate miter;\
-# sat -dump_vcd equiv_${NAME}.vcd -verify-no-timeout -timeout 60 -seq 50 -prove trigger 0 -prove-skip 1 -show-inputs -show-outputs miter" ${NAME}_out.v