aboutsummaryrefslogtreecommitdiffstats
path: root/ice40/carry_tests
diff options
context:
space:
mode:
authorDavid Shah <davey1576@gmail.com>2018-06-26 15:55:50 +0200
committerDavid Shah <davey1576@gmail.com>2018-06-26 15:55:50 +0200
commit21d5a04501e411b8c1391606c1eafba5d4789c41 (patch)
tree874a37f8746845a37b492a259ae3c3a116a1c2b0 /ice40/carry_tests
parent6f12f2b7e8c58a0b14c6f1f3df2112b8860a6e4f (diff)
downloadnextpnr-21d5a04501e411b8c1391606c1eafba5d4789c41.tar.gz
nextpnr-21d5a04501e411b8c1391606c1eafba5d4789c41.tar.bz2
nextpnr-21d5a04501e411b8c1391606c1eafba5d4789c41.zip
Carry chains now routable
Signed-off-by: David Shah <davey1576@gmail.com>
Diffstat (limited to 'ice40/carry_tests')
-rw-r--r--ice40/carry_tests/counter.v4
1 files changed, 2 insertions, 2 deletions
diff --git a/ice40/carry_tests/counter.v b/ice40/carry_tests/counter.v
index 8906ff45..1379a330 100644
--- a/ice40/carry_tests/counter.v
+++ b/ice40/carry_tests/counter.v
@@ -1,9 +1,9 @@
module top(input clk, cen, rst, ina, inb, output outa, outb, outc, outd);
- reg [3:0] ctr = 0;
+ reg [15:0] ctr = 0;
always @(posedge clk)
ctr <= ctr + 1'b1;
- assign {outa, outb, outc, outd} = ctr;
+ assign {outa, outb, outc, outd} = ctr[15:12];
endmodule