aboutsummaryrefslogtreecommitdiffstats
path: root/ice40/examples/blinky/blinky.sh
diff options
context:
space:
mode:
authorDavid Shah <dave@ds0.me>2018-12-14 13:41:28 +0000
committerDavid Shah <dave@ds0.me>2019-03-22 10:31:54 +0000
commit1780f42b9a7854a8a7bf1f2d6589d3d35f133f87 (patch)
tree2e668d93ac8b58b908ef32667f689b5a5718af60 /ice40/examples/blinky/blinky.sh
parent0118ac00c45c725a42b16697d1ac975f1be6f3b9 (diff)
downloadnextpnr-1780f42b9a7854a8a7bf1f2d6589d3d35f133f87.tar.gz
nextpnr-1780f42b9a7854a8a7bf1f2d6589d3d35f133f87.tar.bz2
nextpnr-1780f42b9a7854a8a7bf1f2d6589d3d35f133f87.zip
ice40: Add examples folder including floorplan example
Signed-off-by: David Shah <dave@ds0.me>
Diffstat (limited to 'ice40/examples/blinky/blinky.sh')
-rwxr-xr-xice40/examples/blinky/blinky.sh8
1 files changed, 8 insertions, 0 deletions
diff --git a/ice40/examples/blinky/blinky.sh b/ice40/examples/blinky/blinky.sh
new file mode 100755
index 00000000..a2326fc3
--- /dev/null
+++ b/ice40/examples/blinky/blinky.sh
@@ -0,0 +1,8 @@
+#!/bin/bash
+set -ex
+yosys blinky.ys
+../nextpnr-ice40 --json blinky.json --pcf blinky.pcf --asc blinky.asc
+icepack blinky.asc blinky.bin
+icebox_vlog blinky.asc > blinky_chip.v
+iverilog -o blinky_tb blinky_chip.v blinky_tb.v
+vvp -N ./blinky_tb