diff options
author | David Shah <dave@ds0.me> | 2018-12-14 13:41:28 +0000 |
---|---|---|
committer | David Shah <dave@ds0.me> | 2019-03-22 10:31:54 +0000 |
commit | 1780f42b9a7854a8a7bf1f2d6589d3d35f133f87 (patch) | |
tree | 2e668d93ac8b58b908ef32667f689b5a5718af60 /ice40/examples/blinky/blinky_tb.v | |
parent | 0118ac00c45c725a42b16697d1ac975f1be6f3b9 (diff) | |
download | nextpnr-1780f42b9a7854a8a7bf1f2d6589d3d35f133f87.tar.gz nextpnr-1780f42b9a7854a8a7bf1f2d6589d3d35f133f87.tar.bz2 nextpnr-1780f42b9a7854a8a7bf1f2d6589d3d35f133f87.zip |
ice40: Add examples folder including floorplan example
Signed-off-by: David Shah <dave@ds0.me>
Diffstat (limited to 'ice40/examples/blinky/blinky_tb.v')
-rw-r--r-- | ice40/examples/blinky/blinky_tb.v | 25 |
1 files changed, 25 insertions, 0 deletions
diff --git a/ice40/examples/blinky/blinky_tb.v b/ice40/examples/blinky/blinky_tb.v new file mode 100644 index 00000000..f80b5e64 --- /dev/null +++ b/ice40/examples/blinky/blinky_tb.v @@ -0,0 +1,25 @@ +module blinky_tb; + reg clk; + always #5 clk = (clk === 1'b0); + + wire led1, led2, led3, led4, led5; + + chip uut ( + .io_0_8_1(clk), + .io_13_12_1(led1), + .io_13_12_0(led2), + .io_13_11_1(led3), + .io_13_11_0(led4), + .io_13_9_1(led5) + ); + + initial begin + // $dumpfile("blinky_tb.vcd"); + // $dumpvars(0, blinky_tb); + repeat (10) begin + repeat (900000) @(posedge clk); + $display(led1, led2, led3, led4, led5); + end + $finish; + end +endmodule |