aboutsummaryrefslogtreecommitdiffstats
path: root/ice40/examples/blinky
diff options
context:
space:
mode:
authorDavid Shah <dave@ds0.me>2019-08-08 21:26:56 +0100
committerDavid Shah <dave@ds0.me>2019-08-08 21:26:56 +0100
commitdc565b11c793aa41f305a8c9707535ca30d5da59 (patch)
tree3536fb5770cb81fd74f6e9eda8da82b8af742fea /ice40/examples/blinky
parentf0abbc71b56a54e1de0a5e775f77a1d14dfea681 (diff)
downloadnextpnr-dc565b11c793aa41f305a8c9707535ca30d5da59.tar.gz
nextpnr-dc565b11c793aa41f305a8c9707535ca30d5da59.tar.bz2
nextpnr-dc565b11c793aa41f305a8c9707535ca30d5da59.zip
ice40/examples: Fix blinky.sh
Signed-off-by: David Shah <dave@ds0.me>
Diffstat (limited to 'ice40/examples/blinky')
-rwxr-xr-xice40/examples/blinky/blinky.sh2
1 files changed, 1 insertions, 1 deletions
diff --git a/ice40/examples/blinky/blinky.sh b/ice40/examples/blinky/blinky.sh
index a2326fc3..5dfc1ce8 100755
--- a/ice40/examples/blinky/blinky.sh
+++ b/ice40/examples/blinky/blinky.sh
@@ -1,7 +1,7 @@
#!/bin/bash
set -ex
yosys blinky.ys
-../nextpnr-ice40 --json blinky.json --pcf blinky.pcf --asc blinky.asc
+../../../nextpnr-ice40 --json blinky.json --pcf blinky.pcf --asc blinky.asc
icepack blinky.asc blinky.bin
icebox_vlog blinky.asc > blinky_chip.v
iverilog -o blinky_tb blinky_chip.v blinky_tb.v