aboutsummaryrefslogtreecommitdiffstats
path: root/ice40/icebreaker.v
diff options
context:
space:
mode:
authorDavid Shah <davey1576@gmail.com>2018-06-10 17:20:29 +0200
committerDavid Shah <davey1576@gmail.com>2018-06-10 17:20:29 +0200
commitd3f1112580b1920cae8684d95e0c5eb65c785efe (patch)
tree2dafe3ec1ee4ac104a91053810cedab6369252f4 /ice40/icebreaker.v
parent458a13456a2ebea77da496a6a9ba9ed1aba7d5b2 (diff)
downloadnextpnr-d3f1112580b1920cae8684d95e0c5eb65c785efe.tar.gz
nextpnr-d3f1112580b1920cae8684d95e0c5eb65c785efe.tar.bz2
nextpnr-d3f1112580b1920cae8684d95e0c5eb65c785efe.zip
Improving 5k support
Signed-off-by: David Shah <davey1576@gmail.com>
Diffstat (limited to 'ice40/icebreaker.v')
-rw-r--r--ice40/icebreaker.v14
1 files changed, 8 insertions, 6 deletions
diff --git a/ice40/icebreaker.v b/ice40/icebreaker.v
index fde2c576..f7b788a1 100644
--- a/ice40/icebreaker.v
+++ b/ice40/icebreaker.v
@@ -9,7 +9,7 @@ module icebreaker (
output led4_pin,
output led5_pin
);
- wire clk, led1, led2, led3, led4, led5, btn1, btn2, btn3;
+ wire clk, clk_pre, led1, led2, led3, led4, led5, btn1, btn2, btn3;
(* BEL="18_31_io1" *) //27
SB_IO #(
@@ -115,7 +115,7 @@ module icebreaker (
.OUTPUT_ENABLE(),
.D_OUT_0(),
.D_OUT_1(),
- .D_IN_0(clk),
+ .D_IN_0(clk_pre),
.D_IN_1()
);
@@ -172,7 +172,9 @@ module icebreaker (
.D_IN_0(btn3),
.D_IN_1()
);
- /*localparam BITS = 5;
+
+ SB_GB clk_gb(.USER_SIGNAL_TO_GLOBAL_BUFFER(clk_pre), .GLOBAL_BUFFER_OUTPUT(clk));
+ localparam BITS = 5;
localparam LOG2DELAY = 22;
reg [BITS+LOG2DELAY-1:0] counter = 0;
@@ -181,7 +183,7 @@ module icebreaker (
always @(posedge clk) begin
counter <= counter + 1;
outcnt <= counter >> LOG2DELAY;
- end*/
-
- assign {led1, led2, led3, led4, led5} = {!btn1, btn2, btn3, btn2, btn1};
+ end
+ assign {led1, led2, led3, led4, led5} = outcnt ^ (outcnt >> 1);
+ //assign {led1, led2, led3, led4, led5} = {!btn1, btn2, btn3, btn2, btn1};
endmodule