aboutsummaryrefslogtreecommitdiffstats
path: root/ice40/pack.cc
diff options
context:
space:
mode:
authorDavid Shah <davey1576@gmail.com>2018-06-12 12:13:11 +0200
committerDavid Shah <davey1576@gmail.com>2018-06-12 12:13:11 +0200
commit2f61a9b98a621a35aa4763abaaf27ca12bfbbefa (patch)
treee1d205548f38a14019d22b757b0bf11a58e7886b /ice40/pack.cc
parent5f813410aabdae3de84e11861248dcd0699b41c2 (diff)
downloadnextpnr-2f61a9b98a621a35aa4763abaaf27ca12bfbbefa.tar.gz
nextpnr-2f61a9b98a621a35aa4763abaaf27ca12bfbbefa.tar.bz2
nextpnr-2f61a9b98a621a35aa4763abaaf27ca12bfbbefa.zip
ice40: Start working on a packer, currently not tested
Signed-off-by: David Shah <davey1576@gmail.com>
Diffstat (limited to 'ice40/pack.cc')
-rw-r--r--ice40/pack.cc79
1 files changed, 79 insertions, 0 deletions
diff --git a/ice40/pack.cc b/ice40/pack.cc
new file mode 100644
index 00000000..692bfba2
--- /dev/null
+++ b/ice40/pack.cc
@@ -0,0 +1,79 @@
+/*
+ * nextpnr -- Next Generation Place and Route
+ *
+ * Copyright (C) 2018 Clifford Wolf <clifford@clifford.at>
+ * Copyright (C) 2018 David Shah <dave@ds0.me>
+ *
+ * Permission to use, copy, modify, and/or distribute this software for any
+ * purpose with or without fee is hereby granted, provided that the above
+ * copyright notice and this permission notice appear in all copies.
+ *
+ * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
+ * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
+ * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
+ * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES
+ * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN
+ * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF
+ * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.
+ *
+ */
+
+#include "pack.h"
+#include "cells.h"
+#include "design_utils.h"
+#include "log.h"
+
+#include <unordered_set>
+
+// Pack LUTs and LUT-FF pairs
+static void pack_lut_lutffs(Design *design)
+{
+ std::unordered_set<IdString> packed_cells;
+ for (auto cell : design->cells) {
+ CellInfo *ci = cell.second;
+ if (is_lut(ci)) {
+ CellInfo *packed = create_ice_cell(design, "ICESTORM_LC",
+ std::string(ci->name) + "_LC");
+ packed_cells.insert(ci->name);
+ // See if we can pack into a DFF
+ // TODO: LUT cascade
+ NetInfo *o = ci->ports.at("O").net;
+ CellInfo *dff = net_only_drives(o, is_ff, "D", true);
+ if (dff) {
+ lut_to_lc(ci, packed, false);
+ dff_to_lc(dff, packed, false);
+ packed_cells.insert(dff->name);
+ } else {
+ lut_to_lc(ci, packed, true);
+ }
+ }
+ }
+ for (auto pcell : packed_cells) {
+ design->cells.erase(pcell);
+ }
+}
+
+// Pack FFs not packed as LUTFFs
+static void pack_nonlut_ffs(Design *design)
+{
+ std::unordered_set<IdString> packed_cells;
+ for (auto cell : design->cells) {
+ CellInfo *ci = cell.second;
+ if (is_ff(ci)) {
+ CellInfo *packed = create_ice_cell(design, "ICESTORM_LC",
+ std::string(ci->name) + "_LC");
+ packed_cells.insert(ci->name);
+ dff_to_lc(ci, packed, true);
+ }
+ }
+ for (auto pcell : packed_cells) {
+ design->cells.erase(pcell);
+ }
+}
+
+// Main pack function
+void pack_design(Design *design)
+{
+ pack_lut_lutffs(design);
+ pack_nonlut_ffs(design);
+}