aboutsummaryrefslogtreecommitdiffstats
path: root/ice40/pack.cc
diff options
context:
space:
mode:
authorClifford Wolf <clifford@clifford.at>2018-06-12 14:25:12 +0200
committerClifford Wolf <clifford@clifford.at>2018-06-12 14:25:12 +0200
commitd62e341d5a3183d6fdb7168afb978068a396e9a4 (patch)
tree6371f1e406aa80c41fcec87270ed815b8eb35605 /ice40/pack.cc
parent391d49c13ec675e263115d18481d4b842622b712 (diff)
parent9ee6a6e1149e35bcca3c1781f6ce84b11dc80296 (diff)
downloadnextpnr-d62e341d5a3183d6fdb7168afb978068a396e9a4.tar.gz
nextpnr-d62e341d5a3183d6fdb7168afb978068a396e9a4.tar.bz2
nextpnr-d62e341d5a3183d6fdb7168afb978068a396e9a4.zip
Merge branch 'master' of gitlab.com:SymbioticEDA/nextpnr
Diffstat (limited to 'ice40/pack.cc')
-rw-r--r--ice40/pack.cc122
1 files changed, 122 insertions, 0 deletions
diff --git a/ice40/pack.cc b/ice40/pack.cc
new file mode 100644
index 00000000..ff421c17
--- /dev/null
+++ b/ice40/pack.cc
@@ -0,0 +1,122 @@
+/*
+ * nextpnr -- Next Generation Place and Route
+ *
+ * Copyright (C) 2018 Clifford Wolf <clifford@clifford.at>
+ * Copyright (C) 2018 David Shah <dave@ds0.me>
+ *
+ * Permission to use, copy, modify, and/or distribute this software for any
+ * purpose with or without fee is hereby granted, provided that the above
+ * copyright notice and this permission notice appear in all copies.
+ *
+ * THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
+ * WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
+ * MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
+ * ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES
+ * WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN
+ * ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF
+ * OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.
+ *
+ */
+
+#include "pack.h"
+#include "cells.h"
+#include "design_utils.h"
+#include "log.h"
+
+#include <unordered_set>
+
+// Pack LUTs and LUT-FF pairs
+static void pack_lut_lutffs(Design *design)
+{
+ std::unordered_set<IdString> packed_cells;
+ std::vector<CellInfo *> new_cells;
+ for (auto cell : design->cells) {
+ CellInfo *ci = cell.second;
+ log_info("cell '%s' is of type '%s'\n", ci->name.c_str(),
+ ci->type.c_str());
+ if (is_lut(ci)) {
+ CellInfo *packed = create_ice_cell(design, "ICESTORM_LC",
+ std::string(ci->name) + "_LC");
+ packed_cells.insert(ci->name);
+ new_cells.push_back(packed);
+ log_info("packed cell %s into %s\n", ci->name.c_str(),
+ packed->name.c_str());
+ // See if we can pack into a DFF
+ // TODO: LUT cascade
+ NetInfo *o = ci->ports.at("O").net;
+ CellInfo *dff = net_only_drives(o, is_ff, "D", true);
+ if (dff) {
+ lut_to_lc(ci, packed, false);
+ dff_to_lc(dff, packed, false);
+ design->nets.erase(o->name);
+ packed_cells.insert(dff->name);
+ log_info("packed cell %s into %s\n", dff->name.c_str(),
+ packed->name.c_str());
+ } else {
+ lut_to_lc(ci, packed, true);
+ }
+ }
+ }
+ for (auto pcell : packed_cells) {
+ design->cells.erase(pcell);
+ }
+ for (auto ncell : new_cells) {
+ design->cells[ncell->name] = ncell;
+ }
+}
+
+// Pack FFs not packed as LUTFFs
+static void pack_nonlut_ffs(Design *design)
+{
+ std::unordered_set<IdString> packed_cells;
+ std::vector<CellInfo *> new_cells;
+
+ for (auto cell : design->cells) {
+ CellInfo *ci = cell.second;
+ if (is_ff(ci)) {
+ CellInfo *packed = create_ice_cell(design, "ICESTORM_LC",
+ std::string(ci->name) + "_LC");
+ packed_cells.insert(ci->name);
+ new_cells.push_back(packed);
+ dff_to_lc(ci, packed, true);
+ }
+ }
+ for (auto pcell : packed_cells) {
+ design->cells.erase(pcell);
+ }
+ for (auto ncell : new_cells) {
+ design->cells[ncell->name] = ncell;
+ }
+}
+
+// Pack constants (simple implementation)
+static void pack_constants(Design *design)
+{
+ CellInfo *gnd_cell = create_ice_cell(design, "ICESTORM_LC", "$PACKER_GND");
+ gnd_cell->attrs["LUT_INIT"] = "0";
+
+ CellInfo *vcc_cell = create_ice_cell(design, "ICESTORM_LC", "$PACKER_VCC");
+ vcc_cell->attrs["LUT_INIT"] = "1";
+
+ for (auto net : design->nets) {
+ NetInfo *ni = net.second;
+ if (ni->driver.cell != nullptr && ni->driver.cell->type == "GND") {
+ ni->driver.cell = gnd_cell;
+ ni->driver.port = "O";
+ design->cells[gnd_cell->name] = gnd_cell;
+ } else if (ni->driver.cell != nullptr &&
+ ni->driver.cell->type == "VCC") {
+ ni->driver.cell = vcc_cell;
+ ni->driver.port = "O";
+ design->cells[vcc_cell->name] = vcc_cell;
+ }
+ }
+}
+
+// Main pack function
+void pack_design(Design *design)
+{
+ pack_constants(design);
+ pack_lut_lutffs(design);
+ pack_nonlut_ffs(design);
+}