aboutsummaryrefslogtreecommitdiffstats
path: root/ice40
diff options
context:
space:
mode:
authorSergiusz Bazanski <q3k@q3k.org>2018-07-20 18:24:34 +0100
committerSergiusz Bazanski <q3k@q3k.org>2018-07-20 18:24:34 +0100
commit5d0dbe9db961f6dbd2494bd8ab730d8926d01626 (patch)
treeda20102243e9b9068568105308e01435abf662ad /ice40
parent76e5236fb3c161a860b2c494fe44fde3011670af (diff)
downloadnextpnr-5d0dbe9db961f6dbd2494bd8ab730d8926d01626.tar.gz
nextpnr-5d0dbe9db961f6dbd2494bd8ab730d8926d01626.tar.bz2
nextpnr-5d0dbe9db961f6dbd2494bd8ab730d8926d01626.zip
clang-format
Diffstat (limited to 'ice40')
-rw-r--r--ice40/arch.cc2
1 files changed, 1 insertions, 1 deletions
diff --git a/ice40/arch.cc b/ice40/arch.cc
index 786d8ba1..64a2a4be 100644
--- a/ice40/arch.cc
+++ b/ice40/arch.cc
@@ -19,13 +19,13 @@
#include <algorithm>
#include <cmath>
+#include "cells.h"
#include "gfx.h"
#include "log.h"
#include "nextpnr.h"
#include "placer1.h"
#include "router1.h"
#include "util.h"
-#include "cells.h"
NEXTPNR_NAMESPACE_BEGIN
// -----------------------------------------------------------------------