aboutsummaryrefslogtreecommitdiffstats
path: root/machxo2/examples/README.md
diff options
context:
space:
mode:
authorWilliam D. Jones <thor0505@comcast.net>2021-04-13 17:37:30 -0400
committerWilliam D. Jones <thor0505@comcast.net>2021-07-01 09:36:03 -0400
commite625876949795ea3c0bc9b3071cbb45451cc1a16 (patch)
tree1f5017c4c511d863de83eac92ba264860dcc9122 /machxo2/examples/README.md
parent45c33e9dcfb215493e31dc53a068b5dd1860a367 (diff)
downloadnextpnr-e625876949795ea3c0bc9b3071cbb45451cc1a16.tar.gz
nextpnr-e625876949795ea3c0bc9b3071cbb45451cc1a16.tar.bz2
nextpnr-e625876949795ea3c0bc9b3071cbb45451cc1a16.zip
machxo2: Add VHDL primitives, demo, and script.
Diffstat (limited to 'machxo2/examples/README.md')
-rw-r--r--machxo2/examples/README.md1
1 files changed, 1 insertions, 0 deletions
diff --git a/machxo2/examples/README.md b/machxo2/examples/README.md
index 3542da70..545afd26 100644
--- a/machxo2/examples/README.md
+++ b/machxo2/examples/README.md
@@ -20,6 +20,7 @@ This directory contains a simple example of running `nextpnr-machxo2`:
* `demo.sh` creates bitstreams for [TinyFPGA Ax](https://tinyfpga.com/a-series-guide.html)
and writes the resulting bitstream to MachXO2's internal flash using
[`tinyproga`](https://github.com/tinyfpga/TinyFPGA-A-Programmer).
+ `demo-vhdl.sh` does the same, except using the [GHDL Yosys Plugin](https://github.com/ghdl/ghdl-yosys-plugin).
As `nextpnr-machxo2` is developed the contents `simple.sh`, `simtest.sh`,
`mitertest.sh`, and `demo.sh` are subject to change.