aboutsummaryrefslogtreecommitdiffstats
path: root/machxo2/examples/simple.sh
diff options
context:
space:
mode:
authorWilliam D. Jones <thor0505@comcast.net>2020-12-05 00:38:00 -0500
committergatecat <gatecat@ds0.me>2021-02-12 10:36:59 +0000
commitec4a9685abf0fd7d7b2cfecc5dbfc09b963b6ea8 (patch)
tree9643bab7ed2ff06879fafed86e35f0eb807c1af6 /machxo2/examples/simple.sh
parent6f6aaa4a97b32196d41f3c26f5281ac5bd2994c5 (diff)
downloadnextpnr-ec4a9685abf0fd7d7b2cfecc5dbfc09b963b6ea8.tar.gz
nextpnr-ec4a9685abf0fd7d7b2cfecc5dbfc09b963b6ea8.tar.bz2
nextpnr-ec4a9685abf0fd7d7b2cfecc5dbfc09b963b6ea8.zip
machxo2: Initialize Arch context with device type and package.
Diffstat (limited to 'machxo2/examples/simple.sh')
-rw-r--r--machxo2/examples/simple.sh2
1 files changed, 1 insertions, 1 deletions
diff --git a/machxo2/examples/simple.sh b/machxo2/examples/simple.sh
index 91fa4b91..c977bf1a 100644
--- a/machxo2/examples/simple.sh
+++ b/machxo2/examples/simple.sh
@@ -26,7 +26,7 @@ set -ex
${YOSYS:-yosys} -p "read_verilog blinky.v
synth_machxo2 -json blinky.json
show -format png -prefix blinky"
-${NEXTPNR:-../../nextpnr-machxo2} $NEXTPNR_MODE --1200 --no-iobs --json blinky.json --write ${1}blinky.json
+${NEXTPNR:-../../nextpnr-machxo2} $NEXTPNR_MODE --1200 --package QFN32 --no-iobs --json blinky.json --write ${1}blinky.json
${YOSYS:-yosys} -p "read_verilog -lib +/machxo2/cells_sim.v
read_json ${1}blinky.json
clean -purge