aboutsummaryrefslogtreecommitdiffstats
path: root/machxo2/examples/tinyfpga.v
diff options
context:
space:
mode:
authorWilliam D. Jones <thor0505@comcast.net>2021-02-08 01:37:14 -0500
committergatecat <gatecat@ds0.me>2021-02-12 10:36:59 +0000
commita3a38b0536a59be8f2fd7afd1914989d0ed23da7 (patch)
tree774d763b5d2e0cd1889825fefc12995bfc1fdd6a /machxo2/examples/tinyfpga.v
parent0aa472fb3adac0b76ef0b69831d5b83ff1200fe2 (diff)
downloadnextpnr-a3a38b0536a59be8f2fd7afd1914989d0ed23da7.tar.gz
nextpnr-a3a38b0536a59be8f2fd7afd1914989d0ed23da7.tar.bz2
nextpnr-a3a38b0536a59be8f2fd7afd1914989d0ed23da7.zip
machxo2: Add prefix parameter to mitertest.sh. All Verilog files top modules named "top".
Diffstat (limited to 'machxo2/examples/tinyfpga.v')
-rw-r--r--machxo2/examples/tinyfpga.v2
1 files changed, 1 insertions, 1 deletions
diff --git a/machxo2/examples/tinyfpga.v b/machxo2/examples/tinyfpga.v
index dfc2710d..bd26d8eb 100644
--- a/machxo2/examples/tinyfpga.v
+++ b/machxo2/examples/tinyfpga.v
@@ -2,7 +2,7 @@
// https://github.com/tinyfpga/TinyFPGA-A-Series/tree/master/template_a2
// https://tinyfpga.com/a-series-guide.html used as a basis.
-module TinyFPGA_A2 (
+module top (
(* LOC="13" *)
inout pin1
);