aboutsummaryrefslogtreecommitdiffstats
path: root/machxo2/synth/cells_map.v
diff options
context:
space:
mode:
authorWilliam D. Jones <thor0505@comcast.net>2020-11-21 12:01:50 -0500
committergatecat <gatecat@ds0.me>2021-02-12 10:36:59 +0000
commitade94efbfff721ea94afb1408d0d502be990ec5d (patch)
treed2ba14eeb85720c4f9d9eab58366f5156842c0af /machxo2/synth/cells_map.v
parent59efba2fc0c155922bf19e7ef3cbb3dcb09442f0 (diff)
downloadnextpnr-ade94efbfff721ea94afb1408d0d502be990ec5d.tar.gz
nextpnr-ade94efbfff721ea94afb1408d0d502be990ec5d.tar.bz2
nextpnr-ade94efbfff721ea94afb1408d0d502be990ec5d.zip
machxo2: synth directory (simulation, techmaps, synth script) is now provided by yosys.
Diffstat (limited to 'machxo2/synth/cells_map.v')
-rw-r--r--machxo2/synth/cells_map.v12
1 files changed, 0 insertions, 12 deletions
diff --git a/machxo2/synth/cells_map.v b/machxo2/synth/cells_map.v
deleted file mode 100644
index 1d0939e0..00000000
--- a/machxo2/synth/cells_map.v
+++ /dev/null
@@ -1,12 +0,0 @@
-module \$lut (A, Y);
- parameter WIDTH = 0;
- parameter LUT = 0;
- input [WIDTH-1:0] A;
- output Y;
-
- localparam rep = 1<<(`LUT_K-WIDTH);
-
- LUT #(.K(`LUT_K), .INIT({rep{LUT}})) _TECHMAP_REPLACE_ (.I(A), .Q(Y));
-endmodule
-
-module \$_DFF_P_ (input D, C, output Q); DFF _TECHMAP_REPLACE_ (.D(D), .Q(Q), .CLK(C)); endmodule