aboutsummaryrefslogtreecommitdiffstats
path: root/ecp5/synth/blinky_nopack.ys
diff options
context:
space:
mode:
Diffstat (limited to 'ecp5/synth/blinky_nopack.ys')
-rw-r--r--ecp5/synth/blinky_nopack.ys2
1 files changed, 2 insertions, 0 deletions
diff --git a/ecp5/synth/blinky_nopack.ys b/ecp5/synth/blinky_nopack.ys
new file mode 100644
index 00000000..fb359380
--- /dev/null
+++ b/ecp5/synth/blinky_nopack.ys
@@ -0,0 +1,2 @@
+read_verilog blinky.v
+synth_ecp5 -noccu2 -nomux -nodram -json blinky.json