aboutsummaryrefslogtreecommitdiffstats
path: root/ecp5/synth/ulx3s.ys
diff options
context:
space:
mode:
Diffstat (limited to 'ecp5/synth/ulx3s.ys')
-rw-r--r--ecp5/synth/ulx3s.ys9
1 files changed, 9 insertions, 0 deletions
diff --git a/ecp5/synth/ulx3s.ys b/ecp5/synth/ulx3s.ys
new file mode 100644
index 00000000..d741c985
--- /dev/null
+++ b/ecp5/synth/ulx3s.ys
@@ -0,0 +1,9 @@
+read_verilog ulx3s.v
+read_verilog -lib cells.v
+synth -top top
+abc -lut 4
+techmap -map simple_map.v
+splitnets
+opt_clean
+stat
+write_json ulx3s.json