aboutsummaryrefslogtreecommitdiffstats
path: root/ecp5/synth/ulx3s.ys
diff options
context:
space:
mode:
Diffstat (limited to 'ecp5/synth/ulx3s.ys')
-rw-r--r--ecp5/synth/ulx3s.ys9
1 files changed, 0 insertions, 9 deletions
diff --git a/ecp5/synth/ulx3s.ys b/ecp5/synth/ulx3s.ys
deleted file mode 100644
index d741c985..00000000
--- a/ecp5/synth/ulx3s.ys
+++ /dev/null
@@ -1,9 +0,0 @@
-read_verilog ulx3s.v
-read_verilog -lib cells.v
-synth -top top
-abc -lut 4
-techmap -map simple_map.v
-splitnets
-opt_clean
-stat
-write_json ulx3s.json