diff options
Diffstat (limited to 'fpga_interchange/examples/tests/const_wire')
-rw-r--r-- | fpga_interchange/examples/tests/const_wire/CMakeLists.txt | 8 | ||||
-rw-r--r-- | fpga_interchange/examples/tests/const_wire/wire_arty.xdc (renamed from fpga_interchange/examples/tests/const_wire/wire.xdc) | 0 | ||||
-rw-r--r-- | fpga_interchange/examples/tests/const_wire/wire_basys3.xdc | 9 |
3 files changed, 13 insertions, 4 deletions
diff --git a/fpga_interchange/examples/tests/const_wire/CMakeLists.txt b/fpga_interchange/examples/tests/const_wire/CMakeLists.txt index 6dbeaae5..8a3c4375 100644 --- a/fpga_interchange/examples/tests/const_wire/CMakeLists.txt +++ b/fpga_interchange/examples/tests/const_wire/CMakeLists.txt @@ -1,17 +1,17 @@ add_interchange_test( name const_wire_basys3 - device xc7a50t + device xc7a35t package cpg236 tcl run.tcl - xdc wire.xdc + xdc wire_basys3.xdc sources wire.v ) add_interchange_test( name const_wire_arty - device xc7a50t + device xc7a35t package csg324 tcl run.tcl - xdc wire.xdc + xdc wire_arty.xdc sources wire.v ) diff --git a/fpga_interchange/examples/tests/const_wire/wire.xdc b/fpga_interchange/examples/tests/const_wire/wire_arty.xdc index 0d96fc45..0d96fc45 100644 --- a/fpga_interchange/examples/tests/const_wire/wire.xdc +++ b/fpga_interchange/examples/tests/const_wire/wire_arty.xdc diff --git a/fpga_interchange/examples/tests/const_wire/wire_basys3.xdc b/fpga_interchange/examples/tests/const_wire/wire_basys3.xdc new file mode 100644 index 00000000..f8435580 --- /dev/null +++ b/fpga_interchange/examples/tests/const_wire/wire_basys3.xdc @@ -0,0 +1,9 @@ +set_property PACKAGE_PIN U16 [get_ports o] +set_property PACKAGE_PIN E19 [get_ports o2] +set_property PACKAGE_PIN U19 [get_ports o3] +set_property PACKAGE_PIN V19 [get_ports o4] + +set_property IOSTANDARD LVCMOS33 [get_ports o] +set_property IOSTANDARD LVCMOS33 [get_ports o2] +set_property IOSTANDARD LVCMOS33 [get_ports o3] +set_property IOSTANDARD LVCMOS33 [get_ports o4] |