aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples/tests/counter/arty35t.xdc
diff options
context:
space:
mode:
Diffstat (limited to 'fpga_interchange/examples/tests/counter/arty35t.xdc')
-rw-r--r--fpga_interchange/examples/tests/counter/arty35t.xdc14
1 files changed, 14 insertions, 0 deletions
diff --git a/fpga_interchange/examples/tests/counter/arty35t.xdc b/fpga_interchange/examples/tests/counter/arty35t.xdc
new file mode 100644
index 00000000..22c325ae
--- /dev/null
+++ b/fpga_interchange/examples/tests/counter/arty35t.xdc
@@ -0,0 +1,14 @@
+## arty-35t board
+set_property PACKAGE_PIN E3 [get_ports clk]
+set_property PACKAGE_PIN D9 [get_ports rst]
+set_property PACKAGE_PIN H5 [get_ports io_led[4]]
+set_property PACKAGE_PIN J5 [get_ports io_led[5]]
+set_property PACKAGE_PIN T9 [get_ports io_led[6]]
+set_property PACKAGE_PIN T10 [get_ports io_led[7]]
+
+set_property IOSTANDARD LVCMOS33 [get_ports clk]
+set_property IOSTANDARD LVCMOS33 [get_ports rst]
+set_property IOSTANDARD LVCMOS33 [get_ports io_led[4]]
+set_property IOSTANDARD LVCMOS33 [get_ports io_led[5]]
+set_property IOSTANDARD LVCMOS33 [get_ports io_led[6]]
+set_property IOSTANDARD LVCMOS33 [get_ports io_led[7]]