aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples/tests/counter/lifcl17.xdc
diff options
context:
space:
mode:
Diffstat (limited to 'fpga_interchange/examples/tests/counter/lifcl17.xdc')
-rw-r--r--fpga_interchange/examples/tests/counter/lifcl17.xdc14
1 files changed, 14 insertions, 0 deletions
diff --git a/fpga_interchange/examples/tests/counter/lifcl17.xdc b/fpga_interchange/examples/tests/counter/lifcl17.xdc
new file mode 100644
index 00000000..2031964e
--- /dev/null
+++ b/fpga_interchange/examples/tests/counter/lifcl17.xdc
@@ -0,0 +1,14 @@
+## lifcl17 pins for testing based on breakout board
+set_property PACKAGE_PIN 55 [get_ports clk]
+set_property PACKAGE_PIN 57 [get_ports rst]
+set_property PACKAGE_PIN 56 [get_ports io_led[4]]
+set_property PACKAGE_PIN 59 [get_ports io_led[5]]
+set_property PACKAGE_PIN 60 [get_ports io_led[6]]
+set_property PACKAGE_PIN 61 [get_ports io_led[7]]
+
+set_property IOSTANDARD LVCMOS33 [get_ports clk]
+set_property IOSTANDARD LVCMOS33 [get_ports rst]
+set_property IOSTANDARD LVCMOS33 [get_ports io_led[4]]
+set_property IOSTANDARD LVCMOS33 [get_ports io_led[5]]
+set_property IOSTANDARD LVCMOS33 [get_ports io_led[6]]
+set_property IOSTANDARD LVCMOS33 [get_ports io_led[7]]