aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples/tests/wire/CMakeLists.txt
diff options
context:
space:
mode:
Diffstat (limited to 'fpga_interchange/examples/tests/wire/CMakeLists.txt')
-rw-r--r--fpga_interchange/examples/tests/wire/CMakeLists.txt48
1 files changed, 3 insertions, 45 deletions
diff --git a/fpga_interchange/examples/tests/wire/CMakeLists.txt b/fpga_interchange/examples/tests/wire/CMakeLists.txt
index c7199475..7b6567ae 100644
--- a/fpga_interchange/examples/tests/wire/CMakeLists.txt
+++ b/fpga_interchange/examples/tests/wire/CMakeLists.txt
@@ -1,49 +1,7 @@
-add_interchange_test(
- name wire_basys3
+add_interchange_group_test(
+ name wire
family ${family}
- device xc7a35t
- package cpg236
+ board_list basys3 arty35t zybo arty100t nexys_video
tcl run.tcl
- xdc wire_basys3.xdc
- sources wire.v
-)
-
-add_interchange_test(
- name wire_arty_35t
- family ${family}
- device xc7a35t
- package csg324
- tcl run.tcl
- xdc wire_arty.xdc
- sources wire.v
-)
-
-add_interchange_test(
- name wire_arty_100t
- family ${family}
- device xc7a100t
- package csg324
- tcl run.tcl
- xdc wire_arty.xdc
- sources wire.v
-)
-
-add_interchange_test(
- name wire_nexys_video
- family ${family}
- device xc7a200t
- package sbg484
- tcl run.tcl
- xdc wire_nexys_video.xdc
- sources wire.v
-)
-
-add_interchange_test(
- name wire_zybo
- family ${family}
- device xc7z010
- package clg400
- tcl run.tcl
- xdc wire_zybo.xdc
sources wire.v
)