aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples/tests/wire/arty35t.xdc
diff options
context:
space:
mode:
Diffstat (limited to 'fpga_interchange/examples/tests/wire/arty35t.xdc')
-rw-r--r--fpga_interchange/examples/tests/wire/arty35t.xdc5
1 files changed, 5 insertions, 0 deletions
diff --git a/fpga_interchange/examples/tests/wire/arty35t.xdc b/fpga_interchange/examples/tests/wire/arty35t.xdc
new file mode 100644
index 00000000..54c661c9
--- /dev/null
+++ b/fpga_interchange/examples/tests/wire/arty35t.xdc
@@ -0,0 +1,5 @@
+set_property PACKAGE_PIN A8 [get_ports i]
+set_property PACKAGE_PIN H5 [get_ports o]
+
+set_property IOSTANDARD LVCMOS33 [get_ports i]
+set_property IOSTANDARD LVCMOS33 [get_ports o]