aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples/tests/wire/zybo.xdc
diff options
context:
space:
mode:
Diffstat (limited to 'fpga_interchange/examples/tests/wire/zybo.xdc')
-rw-r--r--fpga_interchange/examples/tests/wire/zybo.xdc5
1 files changed, 5 insertions, 0 deletions
diff --git a/fpga_interchange/examples/tests/wire/zybo.xdc b/fpga_interchange/examples/tests/wire/zybo.xdc
new file mode 100644
index 00000000..072c19d2
--- /dev/null
+++ b/fpga_interchange/examples/tests/wire/zybo.xdc
@@ -0,0 +1,5 @@
+set_property PACKAGE_PIN G15 [get_ports i]
+set_property PACKAGE_PIN M14 [get_ports o]
+
+set_property IOSTANDARD LVCMOS33 [get_ports i]
+set_property IOSTANDARD LVCMOS33 [get_ports o]