aboutsummaryrefslogtreecommitdiffstats
path: root/fpga_interchange/examples/wire/wire.xdc
diff options
context:
space:
mode:
Diffstat (limited to 'fpga_interchange/examples/wire/wire.xdc')
-rw-r--r--fpga_interchange/examples/wire/wire.xdc2
1 files changed, 2 insertions, 0 deletions
diff --git a/fpga_interchange/examples/wire/wire.xdc b/fpga_interchange/examples/wire/wire.xdc
new file mode 100644
index 00000000..e1fce5f0
--- /dev/null
+++ b/fpga_interchange/examples/wire/wire.xdc
@@ -0,0 +1,2 @@
+set_property PACKAGE_PIN N16 [get_ports i]
+set_property PACKAGE_PIN N15 [get_ports o]