aboutsummaryrefslogtreecommitdiffstats
path: root/generic/examples/blinky.v
diff options
context:
space:
mode:
Diffstat (limited to 'generic/examples/blinky.v')
-rw-r--r--generic/examples/blinky.v9
1 files changed, 9 insertions, 0 deletions
diff --git a/generic/examples/blinky.v b/generic/examples/blinky.v
new file mode 100644
index 00000000..b7cb1b86
--- /dev/null
+++ b/generic/examples/blinky.v
@@ -0,0 +1,9 @@
+module top(input clk, output reg [7:0] leds);
+
+reg [25:0] ctr;
+always @(posedge clk)
+ ctr <= ctr + 1'b1;
+
+assign leds = ctr[25:18];
+
+endmodule \ No newline at end of file