aboutsummaryrefslogtreecommitdiffstats
path: root/ice40/blinky.v
diff options
context:
space:
mode:
Diffstat (limited to 'ice40/blinky.v')
-rw-r--r--ice40/blinky.v6
1 files changed, 0 insertions, 6 deletions
diff --git a/ice40/blinky.v b/ice40/blinky.v
index a52a7e25..72bcd662 100644
--- a/ice40/blinky.v
+++ b/ice40/blinky.v
@@ -123,11 +123,6 @@ module blinky (
.D_IN_1()
);
-`ifdef ALT_BLINKY
- reg ff = 0;
- always @(posedge clk) ff <= !ff;
- assign led1 = clki, led2 = !clki, led3 = !clk, led4 = !clk, led5 = ff;
-`else
localparam BITS = 5;
localparam LOG2DELAY = 22;
@@ -140,5 +135,4 @@ module blinky (
end
assign {led1, led2, led3, led4, led5} = outcnt ^ (outcnt >> 1);
-`endif
endmodule