aboutsummaryrefslogtreecommitdiffstats
path: root/ice40/blinky_tb.v
diff options
context:
space:
mode:
Diffstat (limited to 'ice40/blinky_tb.v')
-rw-r--r--ice40/blinky_tb.v15
1 files changed, 15 insertions, 0 deletions
diff --git a/ice40/blinky_tb.v b/ice40/blinky_tb.v
new file mode 100644
index 00000000..300c8a37
--- /dev/null
+++ b/ice40/blinky_tb.v
@@ -0,0 +1,15 @@
+module blinky_tb;
+ reg clk;
+ always #5 clk = (clk === 1'b0);
+
+ chip uut (
+ .io_0_8_1(clk)
+ );
+
+ initial begin
+ $dumpfile("blinky_tb.vcd");
+ $dumpvars(0, blinky_tb);
+ repeat (9000000) @(posedge clk);
+ $finish;
+ end
+endmodule