aboutsummaryrefslogtreecommitdiffstats
path: root/ice40/examples
diff options
context:
space:
mode:
Diffstat (limited to 'ice40/examples')
-rwxr-xr-xice40/examples/blinky/blinky.sh2
-rwxr-xr-xice40/examples/floorplan/floorplan.sh2
2 files changed, 2 insertions, 2 deletions
diff --git a/ice40/examples/blinky/blinky.sh b/ice40/examples/blinky/blinky.sh
index 5dfc1ce8..604c8bf2 100755
--- a/ice40/examples/blinky/blinky.sh
+++ b/ice40/examples/blinky/blinky.sh
@@ -1,7 +1,7 @@
#!/bin/bash
set -ex
yosys blinky.ys
-../../../nextpnr-ice40 --json blinky.json --pcf blinky.pcf --asc blinky.asc
+../../../nextpnr-ice40 --hx1k --package tq144 --json blinky.json --pcf blinky.pcf --asc blinky.asc
icepack blinky.asc blinky.bin
icebox_vlog blinky.asc > blinky_chip.v
iverilog -o blinky_tb blinky_chip.v blinky_tb.v
diff --git a/ice40/examples/floorplan/floorplan.sh b/ice40/examples/floorplan/floorplan.sh
index e0ed7a64..49461f8d 100755
--- a/ice40/examples/floorplan/floorplan.sh
+++ b/ice40/examples/floorplan/floorplan.sh
@@ -1,6 +1,6 @@
#!/usr/bin/env bash
set -ex
yosys -p "synth_ice40 -top top -json floorplan.json" floorplan.v
-../../../nextpnr-ice40 --up5k --json floorplan.json --pcf icebreaker.pcf --asc floorplan.asc --ignore-loops --pre-place floorplan.py
+../../../nextpnr-ice40 --package sg48 --up5k --json floorplan.json --pcf icebreaker.pcf --asc floorplan.asc --ignore-loops --pre-place floorplan.py
icepack floorplan.asc floorplan.bin
iceprog floorplan.bin