aboutsummaryrefslogtreecommitdiffstats
path: root/ice40/pack_tests/test.sh
diff options
context:
space:
mode:
Diffstat (limited to 'ice40/pack_tests/test.sh')
-rwxr-xr-xice40/pack_tests/test.sh3
1 files changed, 2 insertions, 1 deletions
diff --git a/ice40/pack_tests/test.sh b/ice40/pack_tests/test.sh
index 88ff5b1d..dd1f345c 100755
--- a/ice40/pack_tests/test.sh
+++ b/ice40/pack_tests/test.sh
@@ -5,7 +5,8 @@ yosys -p "synth_ice40 -nocarry -top io_wrapper; write_json ${NAME}.json" $1 io_w
../../nextpnr-ice40 --json ${NAME}.json --pack --asc ${NAME}.asc
icebox_vlog -p test.pcf ${NAME}.asc > ${NAME}_out.v
-yosys -p "rename chip gate;\
+yosys -p "read_verilog +/ice40/cells_sim.v;\
+ rename chip gate;\
read_verilog $1;\
rename top gold;\
hierarchy;\