aboutsummaryrefslogtreecommitdiffstats
path: root/ice40/pack_tests/test.sh
diff options
context:
space:
mode:
Diffstat (limited to 'ice40/pack_tests/test.sh')
-rwxr-xr-xice40/pack_tests/test.sh14
1 files changed, 7 insertions, 7 deletions
diff --git a/ice40/pack_tests/test.sh b/ice40/pack_tests/test.sh
index 24bb6549..7ac3ef76 100755
--- a/ice40/pack_tests/test.sh
+++ b/ice40/pack_tests/test.sh
@@ -5,11 +5,11 @@ yosys -p "synth_ice40 -nocarry -top io_wrapper; write_json ${NAME}.json" $1 io_w
../../nextpnr-ice40 --json ${NAME}.json --pack --asc ${NAME}.asc
icebox_vlog -p test.pcf ${NAME}.asc > ${NAME}_out.v
-yosys -p "rename top gate\
- read_verilog $1\
- rename top gold\
- hierarchy\
- proc\
- clk2fflogic\
- miter -equiv -flatten -ignore_gold_x -make_outputs -make_outcmp gold gate miter\
+yosys -p "rename chip gate;\
+ read_verilog $1;\
+ rename top gold;\
+ hierarchy;\
+ proc;\
+ clk2fflogic;\
+ miter -equiv -flatten -ignore_gold_x -make_outputs -make_outcmp gold gate miter;\
sat -dump_vcd equiv_${NAME}.vcd -verify-no-timeout -timeout 20 -seq 10 -prove trigger 0 -prove-skip 1 -show-inputs -show-outputs miter" ${NAME}_out.v