aboutsummaryrefslogtreecommitdiffstats
path: root/common/timing.cc
Commit message (Expand)AuthorAgeFilesLines
* clangformatDavid Shah2018-11-261-1/+1
* Merge pull request #138 from YosysHQ/refactor_logDavid Shah2018-11-261-14/+16
|\
| * Add nonfatal error support and use for timing failuresDavid Shah2018-11-261-1/+1
| * Change the log level of some timing-related messagesDavid Shah2018-11-211-14/+16
* | timing: Improve crit path statisticsDavid Shah2018-11-161-2/+7
* | ecp5: clangformat timing changesDavid Shah2018-11-161-2/+0
* | ecp5: Use speed-grade-specific delay estimateDavid Shah2018-11-161-1/+0
* | ecp5: Fix db import, improve timing data debuggingDavid Shah2018-11-161-1/+15
|/
* clangformatDavid Shah2018-11-161-10/+19
* Improve message spacingEddie Hung2018-11-141-2/+7
* Merge remote-tracking branch 'origin/master' into timingapiEddie Hung2018-11-141-1/+18
|\
| * [timing] Path report to include pips when --verbose setEddie Hung2018-11-131-1/+18
* | Timing fixesDavid Shah2018-11-141-1/+14
* | [timing] Crit path report to print out edgesEddie Hung2018-11-131-3/+4
* | timing: Fix compile warningDavid Shah2018-11-121-1/+1
* | timing: Add support for clock constraintsDavid Shah2018-11-121-3/+22
* | ecp5: Update arch to new timing APIDavid Shah2018-11-121-2/+1
* | timing: Fix Fmax for clocks with mixed edge usageDavid Shah2018-11-121-10/+11
* | archapi: Add getDelayFromNS to improve timing algorithm portabilityDavid Shah2018-11-121-3/+3
* | timing: Fix handling of clock inputsDavid Shah2018-11-121-10/+17
* | timing: Don't include false startpoints in async pathsDavid Shah2018-11-121-2/+8
* | timing: Improve Fmax output and print cross-clock pathsDavid Shah2018-11-121-52/+130
* | timing: Multiple clock analysisDavid Shah2018-11-121-9/+25
* | Working on multi-clock analysisDavid Shah2018-11-121-173/+251
* | Working on adding multiple domains to timing analysisDavid Shah2018-11-121-33/+87
* | timing: Working on a timing constraint APIDavid Shah2018-11-121-1/+2
|/
* [timing] Fix combinational -> combinatorialEddie Hung2018-11-111-2/+2
* [timing] Better messaging for failed timing analysis, allow --force toEddie Hung2018-11-111-1/+4
* Merge pull request #88 from YosysHQ/issue72Eddie Hung2018-10-111-17/+6
|\
| * [timing] Restore and skip false startpointsEddie Hung2018-09-151-17/+6
* | clangformatDavid Shah2018-10-011-3/+1
* | ecp5: Debugging DRAM packingDavid Shah2018-10-011-0/+18
|/
* Fix for min_slack == max_slack => bin_size == 0Eddie Hung2018-08-221-4/+5
* Fix MSVC compileMiodrag Milanovic2018-08-091-0/+1
* timing: Remove unused variableDavid Shah2018-08-081-1/+0
* timing: Update to use getDelayNSDavid Shah2018-08-081-11/+14
* One more breadcrumbEddie Hung2018-08-081-0/+1
* Leave comment behind about removing false pathsEddie Hung2018-08-081-1/+1
* clangformatDavid Shah2018-08-081-6/+12
* Unfurl comments for clangformatEddie Hung2018-08-081-28/+12
* Also include TMG_GEN_CLOCK as a timing startpointEddie Hung2018-08-081-3/+2
* timing: Debugging implementation of new timing APIDavid Shah2018-08-081-4/+9
* timing: Update to new use API (currently broken)David Shah2018-08-081-11/+21
* Cleanup nestingEddie Hung2018-08-061-59/+59
* Do less work if update flag is falseEddie Hung2018-08-061-6/+6
* clangformatEddie Hung2018-08-061-29/+36
* Also add PLL outputs as timing startpointsEddie Hung2018-08-061-15/+3
* Remove old timing codeEddie Hung2018-08-061-88/+0
* Compute critical path reportEddie Hung2018-08-061-2/+49
* Add commentsEddie Hung2018-08-061-11/+43